0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA開發(fā)中如何對整個設(shè)計添加時序約束

FPGA之家 ? 來源:未知 ? 作者:劉勇 ? 2019-07-31 14:50 ? 次閱讀

什么是靜態(tài)時序分析?

通俗來說:在輸入信號到輸出信號中,因為經(jīng)過的傳輸路徑、寄存器、門電路等器件的時間,這個時間就是時序。開發(fā)工具不知道我們路徑上的要求,我們通過時序約束來告訴開發(fā)工具,根據(jù)要求,重新規(guī)劃,從而實現(xiàn)我們的時序要求,達到時序的收斂。

我們對整個設(shè)計添加時序約束,讓整個設(shè)計。

時序的欠約束:約束的少了;

時序的過約束:約束了過了;

時序基本概念:時鐘

建立時間setup和保持時間hold

建立時間:在時鐘上升沿前,數(shù)據(jù)不能改變的最小時間;

保持時間:在數(shù)據(jù)上升沿后,數(shù)據(jù)不能改變的最小時間;

例子

滿足reg的時間符合
建立REG3 setup時間違規(guī),導(dǎo)致輸出不確定

三種時序路徑

分析一個寄存器的延時

setup slack余量,這個時間是差了一個時鐘周期;

數(shù)據(jù)達到時間,首先是發(fā)射時鐘+時鐘到REG1的延時+reg1的延時+傳輸路徑的延時

數(shù)據(jù)時間需求:鎖存時鐘+時鐘到reg2的延時-setup時間

hold時間余量,這里分析的應(yīng)該是同一個周期里面的時間,這個時間是對齊的;

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21539

    瀏覽量

    600479
  • 時序設(shè)計
    +關(guān)注

    關(guān)注

    0

    文章

    21

    瀏覽量

    43922

原文標題:FPGA學(xué)習(xí)-時序分析基礎(chǔ)001

文章出處:【微信號:zhuyandz,微信公眾號:FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    FPGA電源時序控制

    電子發(fā)燒友網(wǎng)站提供《FPGA電源時序控制.pdf》資料免費下載
    發(fā)表于 08-26 09:25 ?0次下載
    <b class='flag-5'>FPGA</b>電源<b class='flag-5'>時序</b>控制

    深度解析FPGA時序約束

    建立時間和保持時間是FPGA時序約束兩個最基本的概念,同樣在芯片電路時序分析也存在。
    的頭像 發(fā)表于 08-06 11:40 ?441次閱讀
    深度解析<b class='flag-5'>FPGA</b><b class='flag-5'>中</b>的<b class='flag-5'>時序</b><b class='flag-5'>約束</b>

    FPGA的學(xué)習(xí)筆記---FPGA開發(fā)流程

    吧。 1、設(shè)計初期,要考慮的是需要多少邏輯資源、IO口、信號電平、功耗,如何劃分模塊等。 2、確定了1的需求后,就要根據(jù)原理圖,進行底層的設(shè)計輸入工作。整個設(shè)計工作,需要開發(fā)工具以及仿真軟件,檢查
    發(fā)表于 06-23 14:47

    FPGA 高級設(shè)計:時序分析和收斂

    Static Timing Analysis,簡稱 STA。它可以簡單的定義為:設(shè)計者提出一些特定的時序要求(或者說是添加特定的時序約束),套用特定的
    發(fā)表于 06-17 17:07

    Xilinx FPGA編程技巧之常用時序約束詳解

    今天給大俠帶來Xilinx FPGA編程技巧之常用時序約束詳解,話不多說,上貨。 基本的約束方法 為了保證成功的設(shè)計,所有路徑的時序要求
    發(fā)表于 05-06 15:51

    FPGA工程的時序約束實踐案例

    詳細的原時鐘時序、數(shù)據(jù)路徑時序、目標時鐘時序的各延遲數(shù)據(jù)如下圖所示。值得注意的是數(shù)據(jù)路徑信息,其中包括Tco延遲和布線延遲,各級累加之后得到總的延遲時間。
    發(fā)表于 04-29 10:39 ?573次閱讀
    <b class='flag-5'>FPGA</b>工程的<b class='flag-5'>時序</b><b class='flag-5'>約束</b>實踐案例

    時序約束實操

    添加約束的目的是為了告訴FPGA你的設(shè)計指標及運行情況。在上面的生成約束之后,在Result àxx.sdc中提供約束參考(請注意該文件不能
    的頭像 發(fā)表于 04-28 18:36 ?2011次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>約束</b>實操

    FPGA開發(fā)過程中配置全局時鐘需要注意哪些問題

    FPGA開發(fā)過程中,配置全局時鐘是一個至關(guān)重要的步驟,它直接影響到整個系統(tǒng)的時序和性能。以下是配置全局時鐘時需要注意的一些關(guān)鍵問題: 時鐘抖動和延遲 :全局時鐘資源的設(shè)計目標是實現(xiàn)最
    發(fā)表于 04-28 09:43

    Xilinx FPGA約束設(shè)置基礎(chǔ)

    LOC約束FPGA設(shè)計中最基本的布局約束和綜合約束,能夠定義基本設(shè)計單元在FPGA芯片中的位置,可實現(xiàn)絕對定位、范圍定位以及區(qū)域定位。
    發(fā)表于 04-26 17:05 ?958次閱讀
    Xilinx <b class='flag-5'>FPGA</b>的<b class='flag-5'>約束</b>設(shè)置基礎(chǔ)

    Xilinx FPGA編程技巧之常用時序約束詳解

    今天給大俠帶來Xilinx FPGA編程技巧之常用時序約束詳解,話不多說,上貨。 基本的約束方法為了保證成功的設(shè)計,所有路徑的時序要求
    發(fā)表于 04-12 17:39

    讀《FPGA入門教程》

    設(shè)計的代碼風(fēng)格有明顯差異,特別是在功耗、速度、時序等要求上。例如ASIC設(shè)計根據(jù)要求會有意識地采用某些組合邏輯、門控時鐘等,以降低功耗或提高速度。 第三章詳細介紹了FPGA開發(fā)流程
    發(fā)表于 03-29 16:42

    fpga時序仿真和功能仿真的區(qū)別

    FPGA時序仿真和功能仿真在芯片設(shè)計和驗證過程各自扮演著不可或缺的角色,它們之間存在明顯的區(qū)別。
    的頭像 發(fā)表于 03-15 15:28 ?1734次閱讀

    FPGA基本開發(fā)設(shè)計流程

    ,利用芯片內(nèi)部的各種連線資源,合理正確地連接各個元件。目前,FPGA的結(jié)構(gòu)非常復(fù)雜,特別是在有時序約束條件時,需要利用時序驅(qū)動的引擎進行布局布線。布線結(jié)束后,軟件工具會自動生成報告,提
    發(fā)表于 12-31 21:15

    FPGA時序約束--基礎(chǔ)理論篇

    FPGA開發(fā)過程中,離不開時序約束,那么時序約束是什么?簡單點說,
    發(fā)表于 11-15 17:41

    #共建FPGA開發(fā)者技術(shù)社區(qū),為FPGA生態(tài)點贊#+2023.11.8+FPGA設(shè)計的實踐與經(jīng)驗分享

    1.對輸入輸出進行限制,例如可接受的輸入范圍和輸出幅度等 2.將功能拆分為多個模塊,降低設(shè)計復(fù)雜度,方便調(diào)試和維護3.添加注釋和文檔,方便代碼理解和后期維護。 以上是FPGA設(shè)計的一些經(jīng)驗和技巧分享,希望能夠?qū)Υ蠹矣兴鶐椭?/div>
    發(fā)表于 11-08 15:25