0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

關于臺積電半導體技術分享

lC49_半導體 ? 來源:djl ? 2019-08-28 10:45 ? 次閱讀

來源:內(nèi)容來自由半導體行業(yè)觀察翻譯自「semiwiki」,作者:Tom Dillinger,謝謝。

編者按:每年,臺積電都會在全球舉辦兩場大型客戶活動——春季臺積電技術研討會和秋季臺積電開放式創(chuàng)新平臺生態(tài)系統(tǒng)論壇。技術研討會最近在加州圣克拉拉舉行,廣泛介紹先進半導體和封裝技術發(fā)展的最新情況。本文簡要回顧了半導體工藝演示的要點,后續(xù)文章將回顧先進封裝的內(nèi)容。

臺積電成立于1987年,自1994年以來一直舉辦年度技術研討會,今年是臺積電成立25周年(圣克拉拉會議中心普遍強調(diào)這一點)。臺積電北美總裁兼首席執(zhí)行官Dave Keller表示:“第一屆硅谷研討會的與會者不足100人,而現(xiàn)在,出席人數(shù)已超過2000人?!?/p>

公司發(fā)展總監(jiān)Cheng-Ming Liu博士介紹了臺積電汽車客戶的獨特需求,特別是在更長的產(chǎn)品生命周期內(nèi)的持續(xù)供應。他表示:

“我們對“舊”的工藝流程的承諾是堅定不移的。我們從未關閉過一家工廠,也從未關閉過一項工藝技術?!?/p>

研究與開發(fā)/技術開發(fā)高級副總裁Y.-J.Mii博士著重介紹了工藝技術發(fā)展的三個時代,如下圖所示:

關于臺積電半導體技術分享

在第一階段,Dennard Scaling是指在后續(xù)的工藝節(jié)點中,將FEOL線性光刻尺寸按“s”(s < 1)的比率進行微縮,實現(xiàn)電路密度(1 / s^2)的提高(量度為gates / mm^2),下一階段的重點是材料的改進,而當前階段的重點是設計—技術的協(xié)同優(yōu)化(馬上有更多介紹)。

在隨后的研討會上,集成互連和封裝研發(fā)副總裁DougYu博士介紹了先進封裝技術如何專注于微縮,盡管持續(xù)時間較短。 “十多年來,封裝還提供了再分布層(RDL)和凸點間距光刻的二維改進。借助我們今天所描述的多芯片、3D垂直堆疊封裝技術——特別是臺積電的SoIC產(chǎn)品,我們在電路密度方面取得了巨大的改善。S等于零。或者換句話說,我們實現(xiàn)了無限微縮。(實際上,很容易預見到產(chǎn)品技術將開始使用gates / mm^3進行度量。)

臺積電先進工藝技術現(xiàn)狀的簡要介紹

(一)N7/N7+(7nm/7nm+)

臺積電在兩年前的研討會上宣布了N7和N7 +工藝節(jié)點。

N7是“基線”的FinFET工藝,而N7+通過引入EUV光刻技術,為選定的FEOL層提供了更好的電路密度。設計IP從N7過渡到N7+需要重新部署,以實現(xiàn)1.2倍的邏輯門密度提高。主要亮點包括:

N7正在投產(chǎn),2019年預計將有100多種新的流片(NTO)。

關鍵IP介紹:112Gbps PAM4 SerDes。

N7+受益于持續(xù)的EUV輸出功率(~280W)和uptime(~85%)的改善。臺積電表示:“雖然我們預計功率和uptime會進一步改善,但這些措施足以推動N7 +容量增長?!?/p>

臺積電專注于減少N7的缺陷密度(D0)。根據(jù)臺積電的說法,“在初始產(chǎn)量增加后,D0改進斜坡的速度比以前的節(jié)點快?!?/p>

臺積電展示了N7芯片尺寸的分裂:移動客戶<100 mm^2,HPC客戶>300 mm^2。

據(jù)我所知,臺積電還首次表示他們正專門為“大型芯片”追蹤D0,并報告說與其他N7產(chǎn)品相比,大型設計相對減少了學習。

N7+將于2009年下半年產(chǎn)量上升,并表現(xiàn)出與N7相當?shù)腄0缺陷率。

(二)讓5G成為現(xiàn)實

臺積電邀請高通首席技術官Jim Thompson介紹了他對N7的看法——這是一次非常有啟發(fā)性的演講:

“N7是5G的推動者,如我們最新的SnapDragon855版本所示?!?/p>

“具有256個天線單元的5G MIMO支持64個同步數(shù)字流(simultaneous digital streams),即16個用戶每個用戶在一部電話上接收4個數(shù)據(jù)流?!?/p>

“天線設計對于5G來說確實非常關鍵,可以克服路徑損耗和信號阻塞。人們正在尋求新的、創(chuàng)新的天線實施方案——歸根結底,這只是數(shù)學問題,盡管肯定是復雜的數(shù)學問題。”

“對于5G的采用率,肯定有很多人持懷疑態(tài)度。然而,5G的傳輸速度比4G快得多。在推出計劃中,只有5家運營商和3臺OEM設備支持4G,大部分在美國和韓國。目前,有超過20家運營商和20多家OEM設備專注于5G部署,包括歐洲、中國、日本和東南亞?!?/p>

“此外,不要忽視5G在消費類手機以外的應用中的部署,例如無線工廠自動化。與工業(yè)機器人通信需要高帶寬、低延遲和極高的可用性。考慮一下5G帶來的在無線環(huán)境下制造靈活性的機會?!?/p>

(三)N6(6nm)

臺積電推出了一款新節(jié)點產(chǎn)品,名為N6。此節(jié)點具有一些非常獨特的特性:

與N7兼容的設計規(guī)則(例如,57 mm M1 pitch,與N7相同)

與N7兼容的IP模型

為有限的FEOL層提供EUV光刻,“比N7+多1個EUV層,充分利用了N7+和N5的學習經(jīng)驗”

更嚴格的工藝控制,比N7更快的cycle time

同樣的EDA參考流程、填充算法等,與N7相同

N7設計可以簡單地“重新流片”(re-tapeout,RTO)到N6,以提高EUV掩模光刻的產(chǎn)量

或者,N7設計可以通過使用N6標準單元庫(H240)重新部署邏輯塊來提交新的流片(NTO),該庫利用單元之間的“公共PODE”(CPODE)設備將邏輯塊密度提高~18%。

2020年第一季度開始風險生產(chǎn)(圖示為13級金屬互連堆棧)

盡管設計規(guī)則與N7兼容,但N6還引入了一個非常獨特的功能“M0路由”。

下圖說明了“典型”FinFET器件layout,其中M0僅用作局部互連,用于連接multi-fin器件的源極或漏極節(jié)點,并在單元內(nèi)用于連接通用nFET和pFET原理圖節(jié)點。

關于臺積電半導體技術分享

我需要更多地思考使用M0作為路由層的機會,臺積電表示EDA路由器對此功能的支持仍然是合格的。

在我看來,N6是臺積電引入“半節(jié)點”流程路線圖的延續(xù),如下圖所示。

關于臺積電半導體技術分享

半節(jié)點工藝既是工程驅(qū)動的決策,也是業(yè)務驅(qū)動的決策,目的是提供低風險的設計遷移路徑,為現(xiàn)有N7設計提供一個降低成本的選項,作為一個“mid-life kicker”。

N6的引入也凸顯了一個問題,這個問題將變得越來越棘手。集成外部IP的設計的遷移取決于IP提供商的工程和財政資源,以便按照適當?shù)臅r間表在新節(jié)點上開發(fā)、發(fā)布(在測試站點上)、表征IP并對其進行鑒定。N6提供了在不受外部IP釋放約束的情況下引入kicker的機會。

(四)N5(5nm)

工藝節(jié)點N5合并了額外的EUV光刻,以減少需要大量多重曝光處理的圖層的掩模數(shù)。

關于臺積電半導體技術分享

風險生產(chǎn)于19年3月開始,高產(chǎn)量增長將在2020年第二季度臺南Gigafab 18完成(19年3月完成的第1階段設備安裝)

旨在同時支持移動和高性能計算“平臺”客戶;高性能應用程序?qū)⑾M褂眯碌摹俺蚔t”(ELVT)器件

1.5V或1.2V I / O器件支持

計劃提供N5P(“PLUS”)產(chǎn)品,在恒定功率下可提高+7%的性能,或在恒定perf 下比N5降低約15%的功率(N5后一年)

N5將使用高移動性(Ge)器件溝道

先進材料工程

除了N5推出高移動性溝道外,臺積電還強調(diào)了其他材料和器件工程更新:

超高密度MIM產(chǎn)品(N5),具有2X ff/um*2和2X插入密度

新型低K介電材料

金屬反應離子蝕刻(RIE),取代 Cu damascene,實現(xiàn)金屬間距<30um

石墨烯“cap”,降低Cu互連電阻

改進的局部MIM電容將有助于解決由于較高的柵極密度而增加的電流。臺積電指出,高性能(高開關活動)設計可實現(xiàn)預期的個位數(shù)性能提升。

節(jié)點16FFC和12FFC都得到了器件工程改進:

16FFC+ :與16FFC相比,+10% perf @恒功率,+20%POWER@恒定perf

12FFC+ :與12FFC相比,+7% perf @恒功率,+15% POWER@恒定 perf

這些節(jié)點的NTO將在2019年第三季度被接受。

臺積電還簡要介紹了正在進行的未來節(jié)點材料研究的研發(fā)活動, 例如,Ge nanowire/nanoslab器件溝道,2D半導體材料(ZrSe2,MoSe2),請見下圖(來源:臺積電)。

關于臺積電半導體技術分享

Fab運營高級副總裁j.k Wang博士詳細討論了正在進行的降低DPPM和保持“卓越制造”的努力。特別值得注意的是為滿足汽車客戶苛刻的可靠性要求而采取的步驟。Wang博士演講的重點包括:

“自引入N16節(jié)點以來,我們在頭6個月加快了每個節(jié)點的產(chǎn)能提升速度。2019年N7的產(chǎn)能將超過每年100萬塊12英寸晶圓。自2017年以來,隨著Gigafab 15的第5至7階段已經(jīng)投產(chǎn),N10/N7產(chǎn)能增長了兩倍?!?br />
“我們實施了積極的統(tǒng)計過程控制(在控制晶圓現(xiàn)場進行測量),以便及早發(fā)現(xiàn)、停止和修復過程的變化,例如基線測量的向上/向下偏移、方差偏移、工具之間的不匹配。我們建立了二維晶圓剖面測量標準,并對每個晶圓的‘驗收’剖面進行在線監(jiān)測和比較?!?/p>

“N7的DDM降低率是所有節(jié)點中最快的?!?/p>

“對于汽車客戶,我們實施了獨特的措施,以實現(xiàn)苛刻的DPPM要求。我們會把壞區(qū)域中的好芯片標記出來。而且邊際批次會有SPC標準,它們會被廢棄。”

“我們將支持特定于產(chǎn)品的規(guī)格上限和下限標準。我們將報廢超出規(guī)格限制的晶圓,或保留整批晶圓進行客戶的風險評估。”(見下圖。資料來源:臺積電)

臺積電的不同技術平臺

臺積電開發(fā)了一種針對流程開發(fā)和設計支持功能的方法,主要關注四個平臺——移動、HPC、物聯(lián)網(wǎng)和汽車。汽車事業(yè)部總監(jiān)Cheng-Min Lin博士介紹了該平臺的最新情況,以及汽車客戶的獨特特點。

(一)汽車平臺

Lin博士指出:“汽車系統(tǒng)既需要先進的ADAS邏輯技術,如N16FFC,也需要先進的V2X通信射頻技術。盡管從現(xiàn)在到2022年,汽車的復合年均增長率預計僅為1.8%,但半導體內(nèi)容的復合年均增長率將為6.9%。

他繼續(xù)說:“L1/L2功能的使用率將達到30%左右,額外的MCU應用于安全、連接,以及電動/混合電動汽車功能。每輛車大約有30-40個單片機?!保ㄔ谒膱D表中,預測L3/L4/L5的使用率在2020年約為0.3%,2025年為2.5%。)

“數(shù)字儀表板駕駛艙可視化系統(tǒng)的采用率也將提高,進一步推動半導體增長,2018年為0.2%,2025年達到11%。”

L2+

SAE International將自動駕駛輔助和最終自動駕駛的支持水平定義為“1級至5級”。也許是因為認識到實現(xiàn)L3到L5的困難,因此提出了一個新的“L2+”級別(盡管在SAE之外),帶有附加的攝像機和決策支持功能。

“L2+型汽車通常會集成6個攝像頭、4個短程雷達系統(tǒng)和1個遠程雷達單元,需要超過50GFLOPS圖形處理和>10K DMIPS導航處理吞吐量?!?/p>

N16FFC,然后是N7

16FFC平臺已通過汽車環(huán)境應用認證,例如SPICE和老化模型,基礎IP特性,非易失性存儲器,接口IP。N7平臺將于2020年通過(AEC-Q100和ASIL-B)認證。Lin博士表示:“汽車客戶往往落后消費者采用約2~3年來利用DPPM學習,盡管這一間隔正在縮短。我們預計N7汽車將在2021年被廣泛采用?!?/p>

“臺積電射頻CMOS產(chǎn)品將用于SRR、LRR和LIDAR。16FFC-RF增強型工藝將在2020年2季度符合合汽車平臺的要求。”

(二)物聯(lián)網(wǎng)平臺

臺積電物聯(lián)網(wǎng)平臺專注于低成本,低(有源)功耗和低泄漏(待機)功耗。物聯(lián)網(wǎng)業(yè)務開發(fā)總監(jiān)Simon Wang博士提供了以下最新信息

工藝流程路線圖

55ULP, 40ULP (w/RRAM): 0.75V/0.7V

22ULP, 22ULL: 0.6V

12FFC+_ULL: 0.5V (目標)

為22ULL節(jié)點引入新器件:EHVT器件,超低泄漏SRAM

22ULL SRAM是一種“雙VDD rail”設計,具有獨立的邏輯(0.6V,SVT+HVT)和bitcell VDD_min(0.8V)值,可實現(xiàn)最佳待機功耗。

22ULL節(jié)點還獲得非易失性存儲器的MRAM選項。

請注意,一種新的方法將被應用于低VDD設計的靜態(tài)時序分析?;陔A段的OCV(降階乘法器,derating multiplier)單元延遲計算將使用自由變異格式(LVF)過渡到sign-off。

下一代物聯(lián)網(wǎng)節(jié)點將是12FFC+_ULL,風險生產(chǎn)將在2020年第二季度開始。(具有SVT低VDD標準單元, 0.5V VDD)

(三)射頻

臺積電強調(diào)了RF技術的過程開發(fā)重點,作為5G和汽車應用增長的一部分。RF和模擬業(yè)務開發(fā)總監(jiān)Jay Sun博士重點介紹了以下要點:

對于RF系統(tǒng)收發(fā)器,22ULP / ULL-RF是主流節(jié)點。對于更高端的應用,16FFC-RF是合適的,其次是2020年下半年的N7-RF。

重要的器件研發(fā)正在研發(fā),以增強這些節(jié)點的器件ft和fmax,期待2020年的16FFC-RF-Enhanced(fmax> 380GHz)和2021年的N7-RF-Enhanced。

新的頂級BEOL堆疊選項可用于“升高”的超厚金屬,用于電感器,使之具有更高的Q值。

對于低于6GHz的RF前端設計,臺積電將于2019年推出N40SOI——從0.18微米SOI過渡到0.13微米SOI,再過渡到N40SOI,以此提供ft和fmax大幅改進的器件。

先進封裝方面的表現(xiàn)

從研討會我們可以看得出,臺積電顯然已從一家“純”晶圓級代工廠轉(zhuǎn)型為復雜集成系統(tǒng)模塊的供應商——或者根據(jù)臺積電CEO C.C.Wei的說法,臺積電是“大規(guī)模納米生產(chǎn)創(chuàng)新”的領先供應商。這是多年研發(fā)投資的成果,例如,請參閱下文“SoIC”部分中關于3D堆疊的討論。

集成互連和封裝研發(fā)副總裁Doug Yu博士提供了詳細的最新信息。Yu博士將封裝技術分為獨特的類別——“前端”3D芯片集成(SoIC)和“后端”封裝進展(CoWoS, InFO)。此外,他還介紹了焊盤間距和 Cu pillar/ SnAg凸點光刻技術的進展,特別提到了汽車級可靠性要求。

(1)凸點(Bumping)技術

臺積電繼續(xù)推進凸點技術,可實現(xiàn)60-80um的凸點間距(適用于較小的芯片)。

(2)CoWos

臺積電最初的2.5D封裝產(chǎn)品是chip-on-wafer-on-substrate(CoWoS),它通過使內(nèi)存“更接近處理器”,實現(xiàn)了非常高性能的系統(tǒng)集成。

?> 50種客戶產(chǎn)品

?臺積電正在開發(fā)“標準化”配置,例如,從具有2個或4個HBM的1個SoC,演變?yōu)榫哂?個HBM2E的2個以上SoC(96GB @ 2.5TB /秒)

相應地,臺積電將把最大2.5D中介層占用空間從1X光罩(~50x50)擴展到3X(~85x85),具有150um的凸點間距。

?硅中介層支持5個金屬層和(新)深溝道電容——請參見下圖。

關于臺積電半導體技術分享

(3)InFo

臺積電繼續(xù)發(fā)展集成FanOut(InFO)封裝產(chǎn)品?;叵胍幌?,InFO是使用“重組晶圓”成型化合物集成(多個)芯片的手段,以提供用于RDL圖案化的封裝襯底。InFO以傳統(tǒng)的小封裝WLCSP技術為基礎,以實現(xiàn)(大面積)重分布互連和高凸點數(shù)——請參見下圖。

關于臺積電半導體技術分享

InFO-PoP支持在基極頂部堆疊邏輯芯片和DRAM芯片,使用through-InFO-vias(TIV)將DRAM連接到金屬層。InFO-PoP開發(fā)的重點是改善TIV的間距和縱橫比(垂直面與直徑)。

InFO-on-Substrate產(chǎn)品將(多芯片)InFO模塊連接到(大面積)基板,充分利用為CoWoS開發(fā)的多光罩綁結技術(multiple reticle stitching technology)。

(4)SoIC(“前端”3D集成)

研討會關于封裝的重要公告是介紹了“前端”3D芯片堆疊拓撲,稱為SoIC(System-on-Integrated Chips集成系統(tǒng)芯片)。

SoIC是一種多芯片之間的“無凸點”互連方法。如下圖所示(來自臺積電早期的一篇研發(fā)論文),來自基模的Cu焊盤和來自(變薄的)頂部芯片的裸露的Cu“nails”利用熱壓結合來提供電氣連接。(在 die-to-die接口也存在合適的底部填充材料。)

關于臺積電半導體技術分享

?芯片中的硅通孔提供連接,間距非常緊湊。

?支持face-to-face和face-to-back芯片連接。 “已知良好”的堆疊芯片可以是不同的尺寸,在堆疊層上具有多個芯片。

?臺積電展示了一個3高垂直SoIC 堆疊(3-high vertical SoIC stack)實體模型。

?EDA支持可用:物理設計(DRC、網(wǎng)絡列表/LVS)、寄生提取、時序、IR/EM分析、信號完整性/功率完整性分析、熱/材料應力分析。

?SOIC封裝產(chǎn)品的資格目標是2019年。(我從單獨的臺積電公告中了解到,SoIC的將在2021年量產(chǎn)。)

總結

幾年前,有人半猜測半開玩笑說,“只有7個客戶能負擔得起7nm設計,只有5個客戶能負擔得起5nm”。

顯然,N7/N6和N5在移動通信、HPC和汽車(L1-L5)應用中的發(fā)展勢頭打消了這種想法。臺積電正通過DTCO大力投資這些節(jié)點,充分利用EUV光刻領域的重大進展和新材料的引入。

另外,我們也看到,除了傳統(tǒng)的晶圓代工以外,臺積電的2.5D和InFO“后端”封裝產(chǎn)品都在不斷發(fā)展,重點是推出SoIC拓撲結構的緊密間距Cu壓接全3D堆疊芯片??捎玫碾娐访芏龋╩m ^3)將非常吸引人。然而,利用這項技術的挑戰(zhàn)相當大,從系統(tǒng)架構分區(qū)到堆疊芯片接口的復雜電氣/熱/機械分析,全都包括在內(nèi)。

摩爾定律絕對具有活力,盡管需要戴上3D眼鏡才能看到。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 芯片
    +關注

    關注

    452

    文章

    50020

    瀏覽量

    419759
  • 半導體
    +關注

    關注

    334

    文章

    26666

    瀏覽量

    212908
  • 機械
    +關注

    關注

    8

    文章

    1485

    瀏覽量

    40379
收藏 人收藏

    評論

    相關推薦

    CoWoS產(chǎn)能將提升4倍

    在近日于臺灣舉行的SEMICON Taiwan 2024國際半導體展會上,展示了其在先進封裝技術領域的雄心壯志。據(jù)
    的頭像 發(fā)表于 09-06 17:20 ?605次閱讀

    萬年芯解讀與ASML報告,中國大陸半導體需求強勁

    近期,半導體行業(yè)兩大巨頭——與荷蘭ASML公司相繼發(fā)布了其最新財報及市場分析報告。萬年芯解讀認為,兩份報告不約而同地指出中國大陸市場在芯片半導
    的頭像 發(fā)表于 08-05 11:32 ?381次閱讀
    萬年芯解讀<b class='flag-5'>臺</b><b class='flag-5'>積</b><b class='flag-5'>電</b>與ASML報告,中國大陸<b class='flag-5'>半導體</b>需求強勁

    布局FOPLP技術,推動芯片封裝新變革

    近日,業(yè)界傳來重要消息,已正式組建專注于扇出型面板級封裝(FOPLP)的團隊,并規(guī)劃建立小型試產(chǎn)線(mini line),標志著這家全球領先的半導體制造企業(yè)在芯片封裝
    的頭像 發(fā)表于 07-16 16:51 ?819次閱讀

    SoIC技術助力蘋果M5芯片,預計2025年量產(chǎn)

    半導體行業(yè)的最新動態(tài)中,再次展示了其在制程技術和封裝技術方面的領先地位。本周,
    的頭像 發(fā)表于 07-16 10:28 ?876次閱讀

    股價大增,為全球半導體行業(yè)未來發(fā)展注入活力

    在全球科技產(chǎn)業(yè)的浩瀚星空中,(2330)無疑是最耀眼的星辰之一,其每一次動態(tài)都牽動著資本市場的神經(jīng)。近日,
    的頭像 發(fā)表于 07-04 15:53 ?492次閱讀

    三星加強半導體封裝技術聯(lián)盟,以縮小與差距

    據(jù)最新報道,三星電子正積極加強其在半導體封裝技術領域的聯(lián)盟建設,旨在縮小與全球半導體制造巨頭
    的頭像 發(fā)表于 06-11 09:32 ?459次閱讀

    愛芯元智攜智駕芯片產(chǎn)品及解決方案亮相創(chuàng)新企業(yè)展

    2024年5月28日,全球領先的半導體制造企業(yè)(TSMC)在上海舉辦“2024年技術研討會(TSMC China Technology
    的頭像 發(fā)表于 05-29 09:38 ?853次閱讀
    愛芯元智攜智駕芯片產(chǎn)品及解決方案亮相<b class='flag-5'>臺</b><b class='flag-5'>積</b><b class='flag-5'>電</b>創(chuàng)新企業(yè)展

    熊本三廠啟動籌建,將打造半導體創(chuàng)新聚落

    關于此事,尚未公開表態(tài)。盡管并沒有對外確
    的頭像 發(fā)表于 05-13 10:11 ?471次閱讀

    全球Top25半導體公司發(fā)布:領跑,英偉達異軍突起

    在全球半導體市場遭遇諸多挑戰(zhàn)的2023年,卻以穩(wěn)健的步伐取得了驕人的成績。盡管其營收同比出現(xiàn)了9%的下滑,但相較于英特爾營收同比下滑的14%,
    的頭像 發(fā)表于 04-16 15:13 ?911次閱讀
    全球Top25<b class='flag-5'>半導體</b>公司發(fā)布:<b class='flag-5'>臺</b><b class='flag-5'>積</b><b class='flag-5'>電</b>領跑,英偉達異軍突起

    半導體發(fā)展的四個時代

    代工廠來開發(fā)和交付。是這一階段的關鍵先驅(qū)。 半導體的第四個時代——開放式創(chuàng)新平臺 仔細觀察,我們即將回到原點。隨著半導體行業(yè)的不斷成
    發(fā)表于 03-27 16:17

    考慮引進CoWoS技術

    隨著全球半導體市場的持續(xù)繁榮和技術的不斷進步,作為全球領先的半導體制造企業(yè),近日傳出正在考
    的頭像 發(fā)表于 03-18 13:43 ?739次閱讀

    半導體發(fā)展的四個時代

    交給代工廠來開發(fā)和交付。是這一階段的關鍵先驅(qū)。 半導體的第四個時代——開放式創(chuàng)新平臺 仔細觀察,我們即將回到原點。隨著半導體行業(yè)的
    發(fā)表于 03-13 16:52

    亞利桑那州半導體基地完工

     對未來做出展望:“工廠投產(chǎn)后,兩座晶圓廠將成為全美最先進半導體技術生產(chǎn)地,預計帶來4500個高科技就業(yè)機會,助力客戶在高性能計算與人
    的頭像 發(fā)表于 02-25 15:41 ?431次閱讀

    成全球最大半導體制造商

    近日,金融分析師奈斯泰德(Dan Nystedt)公布了2023年全球半導體制造商的營收數(shù)據(jù),其中以693億美元的業(yè)績首次超越英特爾和三星電子,登頂全球最大
    的頭像 發(fā)表于 02-23 17:34 ?1075次閱讀

    創(chuàng)始人:美國復制沒可能,半導體不是花錢就能獨立

    在談到美國半導體法案時,張忠謀說:吸引公司在美國建廠投資520億美元,其中390億美元是美國政府補貼,但這是多年補貼的總和。
    的頭像 發(fā)表于 11-21 10:10 ?601次閱讀