0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

LDPC碼硬件仿真平臺的構(gòu)建及驗(yàn)證LDPC碼在UWB通信中的性能

牽手一起夢 ? 來源:網(wǎng)絡(luò)整理 ? 作者:佚名 ? 2020-01-08 15:47 ? 次閱讀

1 引 言

碼長較長的低速LDPC編碼在信噪比較低的應(yīng)用場合呈現(xiàn)出其他編碼無法匹敵的優(yōu)勢,已經(jīng)證明非規(guī)則的LDPC碼性能甚至優(yōu)于Turbo碼高速 LDPC編碼性能也比較好。尤其是在磁記錄等一些應(yīng)用場合,碼長較短的高速LDPC編碼有著較為廣闊的應(yīng)用前景。在不久的將來,LDPC編碼將用于更多高速高質(zhì)量的通信場合。而UWB通信技術(shù)因其傳輸速率高、功耗低等優(yōu)點(diǎn)在短距離的網(wǎng)絡(luò)中得到越來越多的關(guān)注。特別是UWB無線通信因其具有良好的時域可分辨性尤其適合于密集多徑環(huán)境中的短距離多用戶接入。本文在SvstemGenerator中對LDPC碼整個編譯碼系統(tǒng)進(jìn)行了參數(shù)化的硬件實(shí)現(xiàn),并構(gòu)建了超寬帶通信系統(tǒng)LDPC碼硬件仿真平臺,驗(yàn)證了LDPC碼在UWB通信中的優(yōu)異性能。

2 UWB~LDPC的譯碼算法及不同環(huán)境下的初始化方法

LDPC碼采用置信傳播算法(BP)可以有效地解碼,由于存在大量的乘法運(yùn)算,直接采用BP算法會導(dǎo)致很高的硬件復(fù)雜性,因此采用了對數(shù)(Log)運(yùn)算將乘法變換為加法,這種算法叫做Log-BP算法。實(shí)際上,BP和Log-BP算法實(shí)現(xiàn)的是同樣的譯碼準(zhǔn)則。

首先定義幾個可能用到的幾個變量及符號的意義:H

LDPC碼硬件仿真平臺的構(gòu)建及驗(yàn)證LDPC碼在UWB通信中的性能

因子的統(tǒng)計平均值,當(dāng)瑞利信道平均能量為1時,Ea(a)=0.8662。在UWB通信中,采取文獻(xiàn)給出的混合軟標(biāo)準(zhǔn)化算法,利用UwB信號的歸一化的自相關(guān)值對

LDPC碼硬件仿真平臺的構(gòu)建及驗(yàn)證LDPC碼在UWB通信中的性能

3 LDPC碼硬件解碼結(jié)構(gòu)

由于并行解碼性能與串行解碼在性能上并無差別,只是占用更多的硬件資源來換取更快的速度,并且每一種并行結(jié)構(gòu)只能針對具有某一特定校驗(yàn)矩陣的LDPC碼。所以本文就以串行解碼硬件實(shí)現(xiàn)方式進(jìn)行實(shí)現(xiàn)分析。

3.1串行解碼結(jié)構(gòu)

串行解碼結(jié)構(gòu)圖如圖1所示,幀檢測單元檢測到幀頭后表示后面的輸入有效,啟動譯碼器。初始化單元完成譯碼器的初始化;校驗(yàn)節(jié)點(diǎn)單元和變量節(jié)點(diǎn)單元分別完成校驗(yàn)節(jié)點(diǎn)和變量節(jié)點(diǎn)的更新計算,其中校驗(yàn)節(jié)點(diǎn)單元還要判斷是否滿足校驗(yàn)條件或達(dá)到最大迭代次數(shù);兩個RAM完成特定校驗(yàn)矩陣迭代信息的位置置換;中心控制模塊完成整個系統(tǒng)的流程控制;緩沖模塊是為了達(dá)到速率匹配和能夠連續(xù)譯碼而設(shè)置的。

LDPC碼硬件仿真平臺的構(gòu)建及驗(yàn)證LDPC碼在UWB通信中的性能

(1) 初始化單元

初始化信息是整個譯碼器最重要的譯碼依據(jù),在對一幀初始化信息進(jìn)行譯碼判決的過程中,迭代運(yùn)算不斷調(diào)用的初始化信息必須保持不變,因此采用存儲器將初始化信息進(jìn)行存儲,在一幀的時間內(nèi)保持不變。一幀時間后將開始接收新的信息為下一幀譯碼做準(zhǔn)備。初始化單元在 SystemGenerator中實(shí)現(xiàn)比較簡單,只要用一個ROM存儲所需的初始化信息,然后根據(jù)量化后的軟信息查表即可。

(2)VNU(變量節(jié)點(diǎn))單元

VNU的功能為計算“變量一校驗(yàn)”信息以及更新后驗(yàn)對數(shù)似然比。其硬件實(shí)現(xiàn)圖如圖2所示,3個“校驗(yàn)一變量”信息和1個比特初始化信息相加減去相對應(yīng)的1個“校驗(yàn)一變量”信息所得到的值舍人處理后作為查找表運(yùn)算的輸入,查找表用于計算公式

LDPC碼硬件仿真平臺的構(gòu)建及驗(yàn)證LDPC碼在UWB通信中的性能

最后將查找表運(yùn)算結(jié)果按符號位正負(fù)輸出至CNU處理單元,硬判決位輸出至校驗(yàn)單元進(jìn)行奇偶校驗(yàn)。

LDPC碼硬件仿真平臺的構(gòu)建及驗(yàn)證LDPC碼在UWB通信中的性能

(3)CNU(校驗(yàn)節(jié)點(diǎn))單元

CNU的功能為計算“校驗(yàn)一變量”信息。CNU實(shí)現(xiàn)與VNU基本相似,6個“變量一校驗(yàn)”信息相加減去相對應(yīng)的1個“變量一校驗(yàn)”信息所得到的值舍入處理后作為查找表運(yùn)算的輸入,最后將查找表運(yùn)算結(jié)果按計算的符號位正負(fù)輸出至VNU處理單元。由于是二進(jìn)制系統(tǒng),本文采用異或運(yùn)算代替乘法運(yùn)算計算符號位,其硬件實(shí)現(xiàn)圖如圖3所示。

LDPC碼硬件仿真平臺的構(gòu)建及驗(yàn)證LDPC碼在UWB通信中的性能

3.2 串行解碼在SystemGenerator中的整體實(shí)現(xiàn)

串行解碼在SystemGeneratot中的整體實(shí)現(xiàn)如圖4所示,其中frame detect實(shí)現(xiàn)圖1中的幀檢測模塊用來啟動譯碼器,VNU,CNU完成變量節(jié)點(diǎn)和校驗(yàn)節(jié)點(diǎn)更新的計算,2個單口RAM通過讀寫和地址的控制完成迭代信息的位置置換,其置換地址是預(yù)先在軟件中計算得出存在ROM中的。這種串行解碼結(jié)構(gòu)比較靈活,只需要改變ROM中的讀寫地址就可以適用于不同的LDPC碼 (校驗(yàn)矩陣的維數(shù),行重、列重必須相同),而對于每個LDPC碼其地址置換信息只需計算一次即可。原始信息經(jīng)過延遲用以與解碼后的數(shù)據(jù)比較。

LDPC碼硬件仿真平臺的構(gòu)建及驗(yàn)證LDPC碼在UWB通信中的性能

4 UWB-LDPC的SystemGenerator參數(shù)化硬件仿真

4.1 系統(tǒng)仿真的總體框圖

系統(tǒng)仿真的總體框圖如圖5所示,原始數(shù)據(jù)通過LDPC編碼和調(diào)制,通過信道加上噪聲,然后解調(diào)后的量化軟信息進(jìn)入LDPC譯碼器進(jìn)行解碼,由于編碼和解碼都需要一定的時間,因此原始數(shù)據(jù)必須經(jīng)過延時后才能與譯碼數(shù)據(jù)進(jìn)行比較和計算誤碼率。

LDPC碼硬件仿真平臺的構(gòu)建及驗(yàn)證LDPC碼在UWB通信中的性能

4.2 仿真環(huán)境

我們在SystemGenerator環(huán)境下實(shí)現(xiàn)了串行和并行兩種解碼方式以及不同初始化方案的LDPC碼,整個實(shí)現(xiàn)都采用參數(shù)化方式。為了方便驗(yàn)證性能,本文還建立了VC仿真界面來設(shè)置不同的參數(shù)。SystemGenerator是DSP高層系統(tǒng)設(shè)計與 Xilinx FPGA實(shí)現(xiàn)之間的“橋梁”,他在Matlab/Simulink的環(huán)境下完成算法的建模,然后生成相應(yīng)的工程。ISE可對工程進(jìn)行仿真、綜合、最后完成算法的硬件化。仿真環(huán)境和參數(shù)設(shè)置見表1。

LDPC碼硬件仿真平臺的構(gòu)建及驗(yàn)證LDPC碼在UWB通信中的性能

其中高斯信道的硬件模擬是采用文獻(xiàn)的BoxMuller算法。仿真采用蒙特卡羅仿真方法,LDPC解碼在不同環(huán)境下的BER性能和迭代次數(shù)如圖6所示。

LDPC碼硬件仿真平臺的構(gòu)建及驗(yàn)證LDPC碼在UWB通信中的性能

圖6(a)表示的是誤碼率仿真圖,圖6(b)表示的是平均迭代次數(shù)仿真圖。仿真結(jié)果表明,對于傳統(tǒng)的LDPC碼采用硬件仿真的性能由于量化的影響略差于軟件仿真,但采用了文獻(xiàn)改進(jìn)初始化方案的UWB-LDPC的硬件仿真性能要好于軟件仿真的傳統(tǒng)LDPC編碼方式。尤其是信噪比大于1.5dB 時,UWB-LDPC的BER性能有較大的改善,在10-4時大約比傳統(tǒng)的LDPC大約有1.2 dB的編碼增益,平均迭代次數(shù)也有所減少。因此改進(jìn)的LDPC碼比傳統(tǒng)的LDPC碼更能滿足UwB通信需求。

5結(jié) 語

本文對LDPC碼在UWB通信中的應(yīng)用做了探索,整個仿真都在SystemGenerator中參數(shù)化實(shí)現(xiàn)并構(gòu)建了硬件仿真環(huán)境,為LDPC碼在UWB通信中的應(yīng)用和實(shí)現(xiàn)進(jìn)行了有益的嘗試。

責(zé)任編輯:gt

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 存儲器
    +關(guān)注

    關(guān)注

    38

    文章

    7403

    瀏覽量

    163394
  • 仿真
    +關(guān)注

    關(guān)注

    50

    文章

    3995

    瀏覽量

    133225
  • 譯碼器
    +關(guān)注

    關(guān)注

    4

    文章

    310

    瀏覽量

    50218
收藏 人收藏

    評論

    相關(guān)推薦

    EasyGo實(shí)時仿真丨PCS儲能變流器控制仿真應(yīng)用

    EasyGo 半實(shí)物仿真平臺代替實(shí)物設(shè)備進(jìn)行測試的可行性與精確性。 實(shí)驗(yàn)基于EasyGo CBox快速原型控制器的CPU+FPGA硬件架構(gòu),我們CBox的CPU中部署控制算法和設(shè)置UI控制信號
    發(fā)表于 09-20 10:17

    EasyGo使用筆記丨分布式光伏集群并網(wǎng)控制硬件環(huán)仿真應(yīng)用

    了該模型的仿真驗(yàn)證。最后,基于實(shí)時仿真器NetBox和DSP,構(gòu)建完整的硬件環(huán)
    發(fā)表于 07-12 17:20

    固定讀器怎么選型 工業(yè)二維器推薦

    的關(guān)鍵步驟和注意事項(xiàng),幫助您找到最適合自己需求的讀器。首先,我們需要明確讀器的應(yīng)用場景。不同的應(yīng)用場景對讀器的性能要求各不相同。例如,
    的頭像 發(fā)表于 06-24 14:19 ?253次閱讀
    固定讀<b class='flag-5'>碼</b>器怎么選型 工業(yè)二維<b class='flag-5'>碼</b>讀<b class='flag-5'>碼</b>器推薦

    一文了解通信中Polor信道聯(lián)合極化編碼的基本思想

    Polor編碼最開始是土耳其的Erdal Arikan教授于2008年發(fā)明,并在2016年關(guān)于通信行業(yè)標(biāo)準(zhǔn)制定的3GPP會議上,Polor首次和LDPC共同承擔(dān)了5G時代
    的頭像 發(fā)表于 05-23 16:19 ?656次閱讀
    一文了解<b class='flag-5'>通信中</b>Polor<b class='flag-5'>碼</b>信道聯(lián)合極化編碼的基本思想

    5G通信為什么選擇Polar?

    。Polar因其在數(shù)學(xué)上的優(yōu)雅和在某些條件下的極限性能而備受關(guān)注,并且已經(jīng)被選為5G通信標(biāo)準(zhǔn)的控制信道編碼方案之一。Polar之父ArikanPolar
    的頭像 發(fā)表于 04-19 08:20 ?712次閱讀
    5G<b class='flag-5'>通信</b>為什么選擇Polar<b class='flag-5'>碼</b>?

    8路GMSL視頻注入回灌的自動駕駛半實(shí)物仿真平臺

    8路GMSL視頻注入回灌的自動駕駛半實(shí)物仿真平臺
    的頭像 發(fā)表于 04-17 11:33 ?695次閱讀
    8路GMSL視頻注入回灌的自動駕駛半實(shí)物<b class='flag-5'>仿真平臺</b>

    【分享】基于Easygo仿真平臺的三電機(jī)實(shí)時仿真測試應(yīng)用

    算法效果的前期仿真評估及算法或控制器參數(shù)設(shè)計及仿真驗(yàn)證,可以很大程度上減少系統(tǒng)開發(fā)周期及成本。對多電機(jī)同步控制系統(tǒng)進(jìn)行實(shí)時仿真可有效解決此問題,但目前業(yè)內(nèi)基于FPGA納秒級實(shí)時
    發(fā)表于 04-09 16:49

    fpga原型驗(yàn)證平臺硬件仿真器的區(qū)別

    FPGA原型驗(yàn)證平臺硬件仿真芯片設(shè)計和驗(yàn)證過程中各自發(fā)揮著獨(dú)特的作用,它們之間存在明顯的區(qū)
    的頭像 發(fā)表于 03-15 15:07 ?937次閱讀

    從MATLAB到MWORKS,科學(xué)計算與系統(tǒng)建模仿真平臺的中國選項(xiàng)

    一、同元軟控:敢擔(dān)重任,研制中國自主的科學(xué)計算與系統(tǒng)建模仿真平臺 “中國需要自主的科學(xué)計算與系統(tǒng)建模仿真平臺。” 工業(yè)軟件是所有復(fù)雜系統(tǒng)研發(fā)設(shè)計、仿真驗(yàn)證和數(shù)字制造的必備工具,已經(jīng)成為
    的頭像 發(fā)表于 03-11 13:06 ?459次閱讀

    sim卡pin怎么設(shè)置 pin和puk有什么區(qū)別

    SIM卡(Subscriber Identity Module)是一種存儲用戶身份信息的芯片,用于移動通信網(wǎng)絡(luò)中識別用戶,并且可以存儲一些聯(lián)系人信息和短信等。為了保護(hù)用戶的個人信息安全,SIM卡
    的頭像 發(fā)表于 02-19 16:20 ?3795次閱讀

    基于相機(jī)技術(shù)的工業(yè)級多器——DC200讀

    作為一款基于相機(jī)技術(shù)的工業(yè)級多器,IDC200讀器可以識別各種傳統(tǒng)的一維和二維,包括各種材料上的印刷條碼、點(diǎn)針打標(biāo)
    的頭像 發(fā)表于 01-26 14:34 ?815次閱讀
    基于相機(jī)技術(shù)的工業(yè)級多<b class='flag-5'>碼</b>讀<b class='flag-5'>碼</b>器——DC200讀<b class='flag-5'>碼</b>器

    電腦的pin是什么?PIN和密碼有什么區(qū)別?電腦如何設(shè)置pin?

    電腦的pin是什么?PIN和密碼有什么區(qū)別?電腦如何設(shè)置pin? 電腦的PIN是個人識別號碼的簡稱,是一種用于驗(yàn)證身份或訪問控制的數(shù)
    的頭像 發(fā)表于 01-17 11:17 ?2.6w次閱讀

    驗(yàn)證碼滲透最全總結(jié)

    簡單測試方法,就是看到一個登錄框,然后新建打開圖片鏈接,圖片鏈接中就有機(jī)會看到兩個參數(shù)值,一個是 width,一個是 length。這兩個參數(shù)是計算圖片驗(yàn)證碼的長寬,如果長寬過高就會過度消耗的是服務(wù)器的 CPU 資源。
    的頭像 發(fā)表于 01-05 11:33 ?627次閱讀
    <b class='flag-5'>驗(yàn)證碼</b>滲透最全總結(jié)

    鴻蒙原生應(yīng)用/元服務(wù)開發(fā)-Serverless賬戶驗(yàn)證碼的問題

    應(yīng)用/元服務(wù)早期使用過程中,-Serverless賬戶驗(yàn)證碼的格式是[AGC][應(yīng)用/元服務(wù)名稱],如下圖。 但是,最近,[應(yīng)用/元服務(wù)]名稱直接變成了【default】,用戶收到這種驗(yàn)證
    發(fā)表于 12-27 15:55

    GPS C/A發(fā)生器的仿真研究與FPGA設(shè)計

    電子發(fā)燒友網(wǎng)站提供《GPS C/A發(fā)生器的仿真研究與FPGA設(shè)計.pdf》資料免費(fèi)下載
    發(fā)表于 11-06 14:17 ?0次下載
    GPS C/A<b class='flag-5'>碼</b>發(fā)生器的<b class='flag-5'>仿真</b>研究與FPGA設(shè)計