0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

疫情下的服務(wù)器行業(yè)研究:預(yù)期2020年全球服務(wù)器芯片市場(chǎng)同比增長(zhǎng)10%

牽手一起夢(mèng) ? 來源:金準(zhǔn)數(shù)據(jù) ? 作者:金準(zhǔn)數(shù)據(jù) ? 2020-06-03 14:57 ? 次閱讀

前言

雖然全球新冠肺炎疫情可能在6月底以前趨緩,但在疫苗發(fā)明前的各種民間及商業(yè)活動(dòng)還是要靠著各種如雨后春筍般冒出的線上服務(wù)來幫忙控制,在4G手機(jī)及車用半導(dǎo)體需求不振下,服務(wù)器,筆電,游戲機(jī)這些高效能運(yùn)算(HPC)的芯片客戶反而讓臺(tái)積電2020年?duì)I收同比仍然能增長(zhǎng)15-19%,各季度營(yíng)收環(huán)比持平,所以在肺炎疫苗還沒出現(xiàn)前,服務(wù)器邏輯及存儲(chǔ)芯片及高效能運(yùn)算芯片公司仍將有一次爆發(fā)。

一、服務(wù)器芯片逆疫情求生

2019年12月開始,湖北武漢地區(qū)現(xiàn)多例新型冠狀肺炎(COVID-19)感染案例,春節(jié)期間武漢乃至全國(guó)各省市疫情進(jìn)一步加重。為了控制疫情擴(kuò)散,中國(guó)大陸政府對(duì)大陸超過90個(gè)城市進(jìn)行全封城,半封城,這其中包括北京,上海,廣州,深圳四大一線城市。封城,半封城,地方政府延期復(fù)工要求的嚴(yán)格管制所造成的員工人流中斷,某些零器件生產(chǎn)中斷都對(duì)創(chuàng)新技術(shù)科技產(chǎn)品如4G/5G智能手機(jī),服務(wù)器,筆電,游戲機(jī),還有很多消費(fèi)性電子產(chǎn)品的生產(chǎn)造成不順,但在到了四月,金準(zhǔn)產(chǎn)業(yè)研究團(tuán)隊(duì)預(yù)估整體中國(guó)大陸的組裝供給已經(jīng)超過產(chǎn)業(yè)鏈需求,產(chǎn)能利用率應(yīng)該都已經(jīng)超過80%,國(guó)內(nèi)科技行業(yè)如封測(cè),設(shè)備,顯示面板,電子零器件,組裝等部分公司在疫情趨緩后的營(yíng)收及獲利反彈也會(huì)最可觀。而在需求方面,線下4G/5G智能手機(jī)因歐美各國(guó)相繼執(zhí)行封城及鎖國(guó)造成消費(fèi)者外出大量減少而需求大降,我們因此進(jìn)一步將全球2020年5G手機(jī)出貨量從1.7-2.0億臺(tái)下修到1.5-1.7億臺(tái),全球2020年智能手機(jī)出貨量預(yù)估將下滑超過10%到12億臺(tái),國(guó)內(nèi)手機(jī)激活數(shù)一季度環(huán)比衰退達(dá)26%(季節(jié)性環(huán)比衰退10-15%),而全球手機(jī)銷貨數(shù)量一季度環(huán)比衰退超過15%,但家庭用消費(fèi)性電子產(chǎn)品及軟件需求將暴增,如線上,線下游戲機(jī),線上串流影音視頻,電話/視頻會(huì)議軟件服務(wù),遠(yuǎn)程辦公、在線教育及醫(yī)療的流量大幅增加,因而驅(qū)動(dòng)筆電,云端服務(wù)數(shù)據(jù)中心及通訊運(yùn)營(yíng)商在固態(tài)網(wǎng)絡(luò)資本投資的力道加大,這多少?gòu)浹a(bǔ)一些智能手機(jī)需求不佳對(duì)創(chuàng)新技術(shù)及全球半導(dǎo)體行業(yè)2020年?duì)I收的影響。舉例而言,隨著歐美新冠肺炎疫情惡化,居家隔離上班上課已成常態(tài),不僅造成美國(guó)網(wǎng)絡(luò)流量大增,也迫使Netflix,YouTube在歐洲調(diào)降影片高清畫質(zhì),以免流量負(fù)荷太大造成歐洲網(wǎng)絡(luò)癱瘓。美國(guó)威瑞森電信(Verizon)最新調(diào)查發(fā)現(xiàn),美國(guó)網(wǎng)絡(luò)流量在3月16-20這一周內(nèi)增加20%,同一期間線上串流需求增加12%,虛擬私人網(wǎng)絡(luò)(VPN)流量增加30%,線上電玩流量更暴增75%。

國(guó)際/美國(guó)病號(hào)數(shù)及B Bratio變化

二、服務(wù)器芯片雙位數(shù)增長(zhǎng)可期

因?yàn)樾滦凸跔罘窝祝–OVID-19)繼續(xù)在歐美國(guó)家擴(kuò)大,而帶動(dòng)各種線上游戲,線上視頻(Netflix,YouTube,F(xiàn)acebook,Twitter,Instagram),電話/視頻會(huì)議軟件服務(wù),遠(yuǎn)程辦公、在線教育及醫(yī)療的流量大幅增加,全球計(jì)算機(jī)半導(dǎo)體(服務(wù)器,桌上型計(jì)算機(jī),筆電x86CPUGPU,AI)市場(chǎng)將在2020/2021年同比增長(zhǎng)7-9%(從之前預(yù)測(cè)的6%/6%),但預(yù)期整個(gè)市場(chǎng)應(yīng)該是由AMD的7納米Rome及7納米+Milan服務(wù)器CPU,Intel38核心的10納米服務(wù)器CPU Ice Lake,華為7nm鯤鵬服務(wù)器ARMCPU,中國(guó)長(zhǎng)城16nm的四核飛騰FT-2000/4,信驊及新唐的服務(wù)器遠(yuǎn)端控制芯片BMC(Baseboard Management Controller),AIASIC/GPU,瀾起的內(nèi)存接口芯片,三星、海力士、鎂光所設(shè)計(jì)及生產(chǎn)的服務(wù)器用DRAM,這些芯片市場(chǎng)以超過10%以上同比營(yíng)收的增長(zhǎng)所帶動(dòng),這遠(yuǎn)比以智能手機(jī)芯片為主體的全球邏輯半導(dǎo)體2020年?duì)I收1-3%同比增長(zhǎng)來得好很多(因?yàn)槿蛐鹿诜窝讛U(kuò)大,將之前8%同比增長(zhǎng)預(yù)測(cè)下修)。

計(jì)算機(jī)半導(dǎo)體vs.全球邏輯半導(dǎo)體營(yíng)收同比增長(zhǎng)比較

2.1 2020年服務(wù)器半導(dǎo)體市場(chǎng)增長(zhǎng)》10%

在服務(wù)器市場(chǎng)于2019年衰退近5個(gè)點(diǎn)之后,2020年服務(wù)器半導(dǎo)體市場(chǎng)增長(zhǎng)可期,英特爾之前公布其去年服務(wù)器x86CPU出貨量在1Q/2Q/3Q19同比衰退了8%/12%/6%,但四季度同比增長(zhǎng)了12%,而云服務(wù)器客戶于四季度同比大幅成長(zhǎng)了48%,而在三季度同比需求拐點(diǎn)出現(xiàn)后,估計(jì)全球服務(wù)器市場(chǎng)出貨量在2020/2021年有10%(從之前的8%上修)/22%的同比增長(zhǎng)機(jī)會(huì)。而統(tǒng)計(jì)彭博及Wind分析師對(duì)全球服務(wù)器制造商及半導(dǎo)體相關(guān)公司2020年?duì)I收的預(yù)期,全球服務(wù)器制造商及半導(dǎo)體市場(chǎng)(浪潮36%Y/Y,中科曙光11%Y/Y,緯穎20%Y/Y,信驊22%Y/Y,瀾起42%Y/Y)于2020年同比增長(zhǎng)應(yīng)該可以輕易超過10%,因?yàn)榻饻?zhǔn)產(chǎn)業(yè)研究團(tuán)隊(duì)認(rèn)為服務(wù)器需求將被各種線上游戲、視頻、會(huì)議、辦公、教學(xué)、醫(yī)療所帶動(dòng),高速,低功耗需求讓半導(dǎo)體芯片朝向更先進(jìn)制程(Intel10nm,10nm+,AMD使用臺(tái)積電的7nm,7nm+,5nm制程),更多的核芯運(yùn)算,更多的PCI Express接口,及更多內(nèi)存通道方向邁進(jìn),加上良率不佳,產(chǎn)能短缺,所以不排除單價(jià)的提升會(huì)讓2020年全球服務(wù)器半導(dǎo)體市場(chǎng)同比增長(zhǎng)輕易地超過10%。

全球服務(wù)器市場(chǎng)出貨量及同比增長(zhǎng)率預(yù)估

四大服務(wù)器行業(yè)同比數(shù)據(jù)比較

2.2服務(wù)器產(chǎn)業(yè)鏈?zhǔn)芑菘善?/p>

當(dāng)然服務(wù)器及服務(wù)器半導(dǎo)體市場(chǎng)的復(fù)蘇,也會(huì)帶動(dòng)內(nèi)存DRAM,閃存3DNAND市場(chǎng),以及x86CPU大載板(Ibiden,Shinko,欣興),服務(wù)器CPU插槽(嘉澤),服務(wù)器x86CPU晶圓代工(臺(tái)積電7nm,7nm+,5nm),封測(cè)(通富微-AMD,日月光/長(zhǎng)電-海思鯤鵬)市場(chǎng)的復(fù)蘇。舉例而言,DRA MeXchange/Trend Force最近預(yù)測(cè)服務(wù)器用內(nèi)存DRAM二季度價(jià)格將環(huán)比上漲20%,這對(duì)2020年全球內(nèi)存DRAM市場(chǎng)的增長(zhǎng)有7個(gè)點(diǎn)的貢獻(xiàn)。

全球服務(wù)器用內(nèi)存DRAM占整體份額

Chiplets小芯片架構(gòu)利好封測(cè)及ABF大載板行業(yè):在Intel雇用了前AMDCPU架構(gòu)師Jim Keller后,金準(zhǔn)產(chǎn)業(yè)研究團(tuán)隊(duì)預(yù)期英特爾未來也要跟隨AMD在2021年推出小芯片(chiplets)大載板架構(gòu)10nm++的服務(wù)器x86Eagle StreamCPU及FPGA來改善良率及成本,我們期待這趨勢(shì)利好于封測(cè)及ABF(Ajinomoto Build-up Film)大載板行業(yè)及其龍頭廠商Ibiden,Shinko,欣興Unimicron。ABF樹酯載板是由英特爾所主導(dǎo)的材料,適合高腳數(shù),細(xì)線路,高傳輸,耐高溫x86CPU封裝。

臺(tái)積電的Chiplets小芯片策略

三、服務(wù)器芯片相關(guān)供應(yīng)商

3.1英特爾Intel在10nm的逆襲

在10納米制程一連串的新產(chǎn)品推出延遲后,英特爾終于要在2020年末或2021年初推出其第一顆10nm(相當(dāng)于TSMC的7nm)服務(wù)器x86CPUIceLake-SP,雖然Ice Lake-SP最多只能有38核心,比起AMD Rome的64核心還是有些差距,270瓦的散熱設(shè)計(jì)功耗Thermal Design Power TDP也仍高于AMDEPYC7742的225瓦,低于AMDEPYC7H12的280W,但提升了CPU到內(nèi)存的內(nèi)存條通道從6到8,可連接64條PCIe4.0通道,然而其內(nèi)存條通道增加到8。但僅跟AMD7nm的Rome相同,而64條PCIe4.0通道,還是明顯低于AMD128條PCIe通道。但就制程而言,英特爾的10nm制程工藝在鰭片間距Transistor fin pitch(34nm)是大于臺(tái)積電7nm制程30nm鰭片間距,但54nm柵極間距Poly pitch/contacted gate pitch是小于臺(tái)積電的57nm,36nm金屬間距metal pitch(interconnects)也是小于臺(tái)積電的38/40nm。所以AMD除了在小芯片架構(gòu),成本結(jié)構(gòu),耗能,及128條PCIe通道上占有極大優(yōu)勢(shì)外,在比較使用臺(tái)積電7nm制程的AMD Rome CPU與英特爾的10nm制程的Ice Lake-SPCPU后,在執(zhí)行速度,晶體管增加數(shù)就略遜一籌。這就是為什么有些測(cè)試機(jī)構(gòu)(Gee Bench)發(fā)現(xiàn)英特爾Ice Lake-SPCPU以不到一半的核心,提供近80%更快的執(zhí)行速度打敗AMD Rome CPU。而英特爾將在明年推出的10nmEUV服務(wù)器CPU是建立在Eagle Stream平臺(tái)的Sapphire Rapids,將采取小芯片架構(gòu)來改善良率,成本,及耗能,并用DDR5存儲(chǔ)器及PCIe5.0通道來加快系統(tǒng)速度。這就是為什么AMD不能用臺(tái)積電的7nmEUV制程工藝產(chǎn)出的Milan CPU來競(jìng)爭(zhēng),而要用臺(tái)積電5nmEUV制程產(chǎn)出的Genoa CPU來競(jìng)爭(zhēng)。

Intel10nmIcelake-SPvs.AMD7nmRome

Intelvs.AMD服務(wù)器CPU的比較

3.2超威2020年推出7nmEUVMilan及2021年推出的5nmEUVGenoa

為了因應(yīng)英特爾10nmIceLake-SP及10nmEUVSapphireRapids的上市,AMD將于今年下半年推出使用臺(tái)積電7nmEUV制程的Milan服務(wù)器CPU及于2021年推出5nmEUV制程的Genoa,雖然Milan服務(wù)器CPU的核心數(shù)及內(nèi)存條通道數(shù)與Rome CPU相同,目前仍無法判斷Milan的PCIe通道數(shù)增加多少,不管如何,臺(tái)積電的7nmEUV制程在耗能上及執(zhí)行速度都比7nm制程好了超過10%,而晶體管密度增加20%,我們相信AMD使用的臺(tái)積電7nmEUV制程跟英特爾的10nm制程不相上下,但使用臺(tái)積電5nmEUV制程的Genoa才有機(jī)會(huì)制衡英特爾10nmEUV的Sapphire Rapids/Eagle Stream。雖然去年AMD預(yù)期在今年2Q20拿下10%的服務(wù)器份額,但我們認(rèn)為AMD是用2000萬顆服務(wù)器CPU市場(chǎng)來作為分母,而英特爾在計(jì)算服務(wù)器CPU份額是包括了通訊基地站所用的服務(wù)器CPU,總計(jì)約3000萬顆,所以就英特爾的標(biāo)準(zhǔn)而言,超威AMD要拿下廣義的服務(wù)器CPU市場(chǎng)近10%的全年份額,可能要等到2021年才有機(jī)會(huì)達(dá)到。

Intelvs.AMD在桌機(jī),筆電,服務(wù)器CPU季度份額變化

3.3服務(wù)器遠(yuǎn)端控制芯片龍頭信驊Aspeed不畏疫情

在服務(wù)器遠(yuǎn)端控制芯片(BMC,Baseboard Management Controller)龍頭大廠(60-70%全球份額)信驊公布環(huán)比增長(zhǎng)1%,同比增長(zhǎng)33%的一季度營(yíng)收后,估計(jì)信驊二季度營(yíng)收有15%以上環(huán)比及60%以上同比增長(zhǎng),我們認(rèn)為信驊主要受惠于其白牌客戶拿下華為(華為自行設(shè)計(jì)其服務(wù)器遠(yuǎn)端控制芯片)部分x86服務(wù)器市場(chǎng)份額,當(dāng)然也受惠于大量服務(wù)器的建制,為了增加線上游戲,線上會(huì)議,線上教學(xué),遠(yuǎn)程辦公等。除了Dell主要使用新唐(4919TT)的控制芯片,HPE使用自己設(shè)計(jì)的芯片外,信驊的芯片似乎占領(lǐng)各種x86(Intel,AMD)、ARM、RISCV服務(wù)器平臺(tái)。

服務(wù)器遠(yuǎn)端控制芯片(BMC)

3.4英偉達(dá)Nvidia主導(dǎo)AI服務(wù)器市場(chǎng)

除了Intel及AMD的x86CPU在服務(wù)器中扮演心臟的角色,Aspeed扮演管理的角色外,英偉達(dá)安裝在服務(wù)器的AIGPU加速器就像扮演訓(xùn)練人工智能增強(qiáng)推理能力的角色,而其中的Volta GPU芯片架構(gòu),具有210億個(gè)晶體管,使用第二代高頻寬存儲(chǔ)器(High Bandwidth Memory,HBM),加入新的Tensor核心,每顆用Volta GPU架構(gòu)的V100芯片模組提供125Teraflops(每秒125兆次浮點(diǎn)運(yùn)算)的運(yùn)算速度,通過NVlink一般在一個(gè)服務(wù)器中,可連接安裝8個(gè)V100GPU,可使用近500個(gè)應(yīng)用軟件并相容于目前市場(chǎng)上大多數(shù)的深度學(xué)習(xí)架構(gòu)。因?yàn)榫哂蠥IGPU加速器的服務(wù)器比重會(huì)從現(xiàn)在不到10%持續(xù)提高,所以英偉達(dá)未來在服務(wù)器AIGPU加速器的增長(zhǎng)動(dòng)力可期。目前初步估計(jì)英偉達(dá)服務(wù)器AIGPU加速器芯片2020年同比增長(zhǎng)25%以上,比起2019年的2%好很多。

AI服務(wù)器架構(gòu)

英偉達(dá)訓(xùn)練AIV100

英偉達(dá)營(yíng)收占比分類

3.5瀾起Montage主導(dǎo)服務(wù)器存儲(chǔ)器控制芯片市場(chǎng)

為追求CPU到內(nèi)存的高速通道更順暢,我們初估于2022年1+10內(nèi)存接口芯片(初估價(jià)格為US$20-25vs.1xDDR5RCD為US$4-5)在DDR5模組的比重將會(huì)達(dá)到10%或更高,這種變化將會(huì)明顯提升每片內(nèi)存模組的平均內(nèi)存接口芯片單價(jià)未來三年達(dá)到7%復(fù)合增長(zhǎng)率。而因?yàn)?G在5年后所帶來的數(shù)據(jù)爆炸對(duì)服務(wù)器總體新增量將達(dá)近1000萬臺(tái),或在10年后達(dá)到2000萬臺(tái)的總體新增總量。這表示光靠5G基礎(chǔ)建設(shè)的建制對(duì)每年的服務(wù)器總體新增量就有超過10%。因?yàn)闉懫鸾⒘薉DR4的內(nèi)存接口芯片新標(biāo)準(zhǔn),估計(jì)瀾起的全球市場(chǎng)份額從2016年的31%,逐年拉高到2018年的47%及2019年的49%,要是瀾起能領(lǐng)先IDT及Rambus推出速度快,耗電低的DDR51+10內(nèi)存接口芯片,瀾起就能有每年2-3個(gè)點(diǎn)的市場(chǎng)份額增加。從今年開始,服務(wù)器將從6通道的Intel14nm轉(zhuǎn)到8通道的Intel10nm或8通道的AMD的7nm+CPU,這樣對(duì)服務(wù)器內(nèi)存模組及接口芯片組有》10%年化的同比增長(zhǎng)(三年共有33%(8/6)的同比增量)。而且估計(jì)英特爾從明后年開始將陸續(xù)推動(dòng)4/6顆CPU的服務(wù)器,這多少會(huì)帶動(dòng)服務(wù)器內(nèi)存模組及接口芯片的額外需求,我們估計(jì)未來五年同比增長(zhǎng)應(yīng)該會(huì)超過25%。

DDR4vs.DDR5LRDIMM模組的比較

3.6存儲(chǔ)器的需求驅(qū)動(dòng)力在服務(wù)器

就全球DRAM內(nèi)存市場(chǎng)而言,服務(wù)器約占34%的2020年全球DRAM內(nèi)存用量,在預(yù)估2020年全球服務(wù)器市場(chǎng)同比增長(zhǎng)超過10%(DRAMe Xchange僅預(yù)估3.8%同比增長(zhǎng)),2021年同比增長(zhǎng)22%,及2019-2024年的13%復(fù)合增長(zhǎng)率CAGR,每臺(tái)服務(wù)器插滿內(nèi)存模組的云端服務(wù)器客戶增長(zhǎng)大幅超過企業(yè)端及政府端客戶(英特爾公布4Q19云端服務(wù)器客戶同比增長(zhǎng)48%,但企業(yè)端及政府端客戶同比衰退7%),加上每臺(tái)服務(wù)器因CPU及DRAM的速度加快,CPU跟內(nèi)存DRAM的數(shù)據(jù)通道將于英特爾在今年推出56核心14nm++Cooper Lake及38核心10nm+Ice Lake后,從6通道改成8通道,這三個(gè)原因?qū)Ⅱ?qū)動(dòng)每臺(tái)服務(wù)器DRAM的使用容量增加,因此預(yù)估服務(wù)器用DRAM內(nèi)存用量將在2020年同比增長(zhǎng)25-30%,2021年同比增長(zhǎng)近40%,并于2024年超過整體DRAM用量的一半以上。跟DRAM內(nèi)存市場(chǎng)類似,就全球NAND閃存市場(chǎng)而言,服務(wù)器及筆電/桌上型電腦,持續(xù)用SSDNAND來取代硬碟,約占46%的2020年全球NAND閃存用量,加上每臺(tái)服務(wù)器因CPU的速度及存取速度加快,各種新AI應(yīng)用對(duì)于數(shù)據(jù)量的爆增,這幾個(gè)原因?qū)Ⅱ?qū)動(dòng)每臺(tái)服務(wù)器SSDNAND的使用容量增加,因此預(yù)估服務(wù)器用NAND閃存用量將在2020-2022年同比復(fù)合增長(zhǎng)35-40%。相關(guān)受惠廠商當(dāng)然是韓國(guó)的三星,海力士,及美國(guó)的鎂光。

NAND閃存應(yīng)用份額

2018-2019年國(guó)家采購(gòu)名單中,入圍了七家國(guó)產(chǎn)架構(gòu)服務(wù)器供貨廠商,他們采用的架構(gòu)分別是:鯤鵬(ARM)、飛騰(ARM)、龍芯(MIPS)、海光(X86)、兆芯(X86)、宏芯(POWER)、申威。從性能、生態(tài)兼容性、應(yīng)用遷移成本和市場(chǎng)能力四個(gè)方面來看,華為鯤鵬、飛騰、海光整體表現(xiàn)居于前列。但自從海光被美國(guó)政府列入實(shí)體清單后,海光將無法拿到超威7nmRome,7nmEUVMilan,5nmEUVGenoa的設(shè)計(jì)授權(quán),這樣海光的x86設(shè)計(jì)技術(shù)將停留在14nm。

主要國(guó)產(chǎn)服務(wù)器架構(gòu)對(duì)比

3.7華為鯤鵬ARMv8CPU生態(tài)系的生成

自從美國(guó)Trump政府利用各種國(guó)家安全的理由限制華為采購(gòu)美國(guó)的半導(dǎo)體芯片產(chǎn)品,軟件,作業(yè)系統(tǒng),華為為了擺脫美國(guó)技術(shù),已經(jīng)陸續(xù)推出自己研發(fā)的手機(jī)鴻蒙作業(yè)系統(tǒng)來取代谷歌的Android,用高速通訊ASIC來取代Xilinx,Altera/Intel的FPGA,用SSD閃存主控芯片來取代群聯(lián),Silicon Motion的SSD主控芯片,用Ascend推理及訓(xùn)練AI芯片取代英偉達(dá)Nvidia的推理及訓(xùn)練AI及Xilinx的推理AI芯片,用使用在Linux作業(yè)系統(tǒng)ARMv8CPU(泰山核)架構(gòu)下的鯤鵬處理器取代Intel英特爾及AMD超威的x86CPU。雖然華為有很強(qiáng)的芯片設(shè)計(jì)能力,SPECint Benchmark評(píng)分超過930,超出業(yè)界標(biāo)桿25%,但ARM的CPU架構(gòu)本身單核心運(yùn)算速度就比英特爾X86的CPU來得差,如鯤鵬920主頻只有2.6Ghz,也只能靠著64顆ARMCortexA76核心,8個(gè)DDR4通道來競(jìng)爭(zhēng),但是華為是硬件及半導(dǎo)體公司,要整合自己的開源生態(tài)圈,需要很多系統(tǒng),硬件,系統(tǒng),應(yīng)用軟件公司的認(rèn)證與支持,目前華為除了自行研發(fā)各種開源軟件,作業(yè)系統(tǒng)并與超過150家(MSP云服務(wù)、服務(wù)器PC整機(jī)制造、中間件、操作系統(tǒng)、上層各類應(yīng)用軟件等產(chǎn)業(yè))非美國(guó)的合作廠商攜手推動(dòng)鯤鵬計(jì)算產(chǎn)業(yè),打造完整的產(chǎn)業(yè)生態(tài)鏈,這些公司是否擔(dān)心華為本身的競(jìng)爭(zhēng)將是一個(gè)問題。但在中國(guó)政府的支持下,要求數(shù)家重點(diǎn)國(guó)營(yíng)企業(yè)及多家民間企業(yè)如百度,騰訊提高采用鯤鵬及其他國(guó)產(chǎn)芯片生態(tài)圈的產(chǎn)品比例,以2022年盡量達(dá)到100%的比例完成服務(wù)器國(guó)產(chǎn)化的目標(biāo),這對(duì)于華為鯤鵬生態(tài)圈而言是一大利好。就今年2020年而言,我們目前估計(jì)華為鯤鵬將出貨150-200萬顆服務(wù)器CPU,明年2021年估計(jì)達(dá)250萬顆服務(wù)器CPU,以每臺(tái)服務(wù)器配置4-8顆鯤鵬ARMCPU來計(jì)算,估計(jì)于2021年將會(huì)看到42萬臺(tái)鯤鵬服務(wù)器市場(chǎng),相當(dāng)于全球3%的市場(chǎng)份額。雖然華為之前流失了部分ARMv8泰山核設(shè)計(jì)團(tuán)隊(duì)的干部到阿里巴巴,但我們認(rèn)為華為還是會(huì)持續(xù)對(duì)ARMv8泰山核進(jìn)行設(shè)計(jì)優(yōu)化及制程工藝微縮。

國(guó)產(chǎn)替代相關(guān)部分助推政策

鯤鵬芯片族的“量產(chǎn)一代,研發(fā)一代,規(guī)劃一代”策略

3.8飛騰生態(tài)圈

2019年8月上旬,飛騰發(fā)布《從端到云—基于飛騰平臺(tái)的全棧解決方案白皮書暨飛騰生態(tài)圖譜》,飛騰希望能從系統(tǒng)建設(shè)對(duì)芯片的需求角度去思考什么樣的芯片和生態(tài)才能滿足用戶對(duì)于終端的更高需求,什么樣的芯片和生態(tài)才能滿足云計(jì)算和大數(shù)據(jù)時(shí)代的要求。飛騰也希望站在全系統(tǒng)集成角度,為集成商和最終用戶梳理飛騰生態(tài)圖譜并提供一套從端到云的全棧解決方案,給出集成模式和建議,提供已被驗(yàn)證的、有說服力的實(shí)際案例,去分析目前技術(shù)架構(gòu)的收斂趨勢(shì),協(xié)助各行業(yè)信息化建設(shè)逐步向更先進(jìn)的部署模式轉(zhuǎn)變。在基礎(chǔ)設(shè)施服務(wù)方面,國(guó)內(nèi)主流的云平臺(tái)包括阿里云、騰訊云、紫光云、中興云、浪潮云、金山云、Ucloud等云廠商均已與飛騰平臺(tái)進(jìn)行適配。國(guó)內(nèi)20多家主流的OEM、ODM廠商已推出基于FT-1500A/16和FT-2000+/64芯片的服務(wù)器整機(jī),其中基于飛騰新一代FT-2000+/64芯片的服務(wù)器產(chǎn)品群已于2019年5月在福州數(shù)字中國(guó)峰會(huì)上發(fā)。就今年2020年而言,我們目前估計(jì)飛騰將出貨80-90萬桌機(jī)CPU,10-15萬服務(wù)器CPU,雖然有比之前預(yù)期下修,但還是有不錯(cuò)的國(guó)內(nèi)份額。

基于飛騰平臺(tái)的云計(jì)算全棧架構(gòu)框架

飛騰云計(jì)算全棧生態(tài)圖譜

金準(zhǔn)產(chǎn)業(yè)研究團(tuán)隊(duì)認(rèn)為,在2019年衰退近5個(gè)點(diǎn)之后,估計(jì)全球服務(wù)器市場(chǎng)出貨量在2020/2021年有10%-22%的同比增長(zhǎng)機(jī)會(huì),英特爾之前公布其去年服務(wù)器x86CPU出貨量在同比衰退了三個(gè)季度后,四季度同比卻增長(zhǎng)了12%,而云端服務(wù)器CPU四季度更大幅成長(zhǎng)了48%。統(tǒng)計(jì)彭博及Wind分析師對(duì)全球服務(wù)器相關(guān)公司2020年?duì)I收的預(yù)期,加上服務(wù)器需求將被各種線上游戲,影音串流,會(huì)議,辦公,教學(xué),醫(yī)療所帶動(dòng),高速,低功耗需求讓半導(dǎo)體芯片朝向更先進(jìn)制程(Intel10nm,10nm+,AMD使用臺(tái)積電的7nm,7nm+,5nm制程),更多的核芯運(yùn)算,更多的PCI Express接口,及更多內(nèi)存通道方向邁進(jìn),預(yù)期2020年全球服務(wù)器芯片市場(chǎng)同比增長(zhǎng)可輕易地超過10%。

責(zé)任編輯:gt

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 芯片
    +關(guān)注

    關(guān)注

    452

    文章

    50005

    瀏覽量

    419732
  • 智能手機(jī)
    +關(guān)注

    關(guān)注

    66

    文章

    18370

    瀏覽量

    179501
  • 服務(wù)器
    +關(guān)注

    關(guān)注

    12

    文章

    8866

    瀏覽量

    84966
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    獨(dú)立服務(wù)器與云服務(wù)器的區(qū)別

    隨著互聯(lián)網(wǎng)技術(shù)的飛速發(fā)展,企業(yè)對(duì)于服務(wù)器的需求日益增加,而服務(wù)器市場(chǎng)也隨之出現(xiàn)了多種類型的產(chǎn)品,其中最常見的是獨(dú)立服務(wù)器和云服務(wù)器。這兩種
    的頭像 發(fā)表于 10-12 14:34 ?142次閱讀

    基于高通主板的ARM架構(gòu)服務(wù)器

    一、ARM架構(gòu)服務(wù)器的崛起 (一)市場(chǎng)需求推動(dòng) 消費(fèi)市場(chǎng)寒冬,全球消費(fèi)電子需求下行,服務(wù)器成半導(dǎo)體核心動(dòng)力之一。Arm 加速布局
    的頭像 發(fā)表于 09-11 10:53 ?337次閱讀

    服務(wù)器和虛擬服務(wù)器的區(qū)別是什么

    服務(wù)器和虛擬服務(wù)器是兩種常見的服務(wù)器類型,它們?cè)诤芏喾矫嬗邢嗨浦帲灿幸恍╆P(guān)鍵的區(qū)別。本文將詳細(xì)介紹云服務(wù)器和虛擬服務(wù)器的區(qū)別,包括它
    的頭像 發(fā)表于 07-02 09:48 ?503次閱讀

    智能算力存在缺口,AI服務(wù)器市場(chǎng)規(guī)模持續(xù)提升

    電子發(fā)燒友網(wǎng)報(bào)道(文/李彎彎)AI服務(wù)器市場(chǎng)規(guī)模正在保持高速增長(zhǎng)。根據(jù)MIC測(cè)算,2023全球AI服務(wù)
    的頭像 發(fā)表于 05-22 00:14 ?2934次閱讀

    RISC-V在服務(wù)器方面應(yīng)用與發(fā)展前景

    計(jì)算技術(shù)研究所等機(jī)構(gòu)發(fā)布的“香山”開源高性能RISC-V處理核,不僅性能卓越,而且有效匯聚了全球創(chuàng)新力量,加速了RISC-V在高性能計(jì)算領(lǐng)域的應(yīng)用進(jìn)程。 在發(fā)展前景方面,RISC-V在服務(wù)器
    發(fā)表于 04-28 09:04

    RISC-V在服務(wù)器方面的應(yīng)用與發(fā)展前景如何?剛畢業(yè)的學(xué)生才開始學(xué)來的及嗎?

    計(jì)算技術(shù)研究所等機(jī)構(gòu)發(fā)布的“香山”開源高性能RISC-V處理核,不僅性能卓越,而且有效匯聚了全球創(chuàng)新力量,加速了RISC-V在高性能計(jì)算領(lǐng)域的應(yīng)用進(jìn)程。 在發(fā)展前景方面,RISC-V在服務(wù)器
    發(fā)表于 04-28 08:49

    服務(wù)器遠(yuǎn)程不上服務(wù)器怎么辦?服務(wù)器無法遠(yuǎn)程的原因是什么?

    解封。 2.遠(yuǎn)程端口沒有添加到防火墻策略中 很多人可能對(duì)這個(gè)不是很理解,在這解釋一:端口沒有在防火墻策略中允許所有人鏈接,那么這個(gè)端口就無法被訪問, 同理,遠(yuǎn)程端口沒有加入防火墻策略,就無法訪問這臺(tái)服務(wù)器
    發(fā)表于 02-27 16:21

    linux服務(wù)器和windows服務(wù)器

    ,這在滿足個(gè)性化需求和增強(qiáng)服務(wù)器安全 性上具有優(yōu)勢(shì)。 Linux服務(wù)器還具有出色的性能和穩(wěn)定性。相比之下,Windows服務(wù)器在性能和穩(wěn)定性方面稍有不足。特別是在處理高負(fù)載和 大數(shù)據(jù)量的情況
    發(fā)表于 02-22 15:46

    全球服務(wù)器出貨量有望環(huán)比增長(zhǎng)1.7%,美大型云服務(wù)商重啟采購(gòu)

    在2023第四季度,全球服務(wù)器出貨環(huán)比增長(zhǎng)了3.1%,雖然低于預(yù)期。該季度,一些品牌廠商如戴爾和慧與推出了新的x86平臺(tái)產(chǎn)品,但其出貨量并
    的頭像 發(fā)表于 02-19 15:18 ?620次閱讀

    獨(dú)立服務(wù)器和云服務(wù)器的區(qū)別

    獨(dú)立服務(wù)器和云服務(wù)器的區(qū)別是很多用戶在選擇服務(wù)器時(shí)要做的課程,那么獨(dú)立服務(wù)器和云服務(wù)器的區(qū)別有哪些呢?
    的頭像 發(fā)表于 01-17 10:58 ?769次閱讀

     物理服務(wù)器和大寬服務(wù)器怎么選

    物理服務(wù)器和大寬服務(wù)器的選擇需要根據(jù)具體的業(yè)務(wù)需求來決定。物理服務(wù)器是一種獨(dú)立的、非虛擬化的計(jì)算機(jī)設(shè)備,它通常被用作高性能應(yīng)用、數(shù)據(jù)庫、存儲(chǔ)和網(wǎng)絡(luò)等關(guān)鍵業(yè)務(wù)應(yīng)用的運(yùn)行平臺(tái)。常見的物理服務(wù)器
    的頭像 發(fā)表于 12-20 10:50 ?488次閱讀

    IDC: 浪潮信息居全球服務(wù)器份額第二

    近日,國(guó)際數(shù)據(jù)公司(IDC)發(fā)布《2023第3季度全球服務(wù)器市場(chǎng)追蹤報(bào)告》,報(bào)告顯示,2023第三季度,
    的頭像 發(fā)表于 12-12 12:27 ?939次閱讀
    IDC: 浪潮信息居<b class='flag-5'>全球</b><b class='flag-5'>服務(wù)器</b>份額第二

    全球及中國(guó)Al服務(wù)器市場(chǎng)規(guī)模情況

    未來全球服務(wù)器市場(chǎng)規(guī)模有望超萬億。長(zhǎng)遠(yuǎn)來看,在國(guó)內(nèi)外數(shù)據(jù)流量迅速增長(zhǎng)以及公有云蓬勃發(fā)展的背景服務(wù)器
    發(fā)表于 11-29 10:48 ?1986次閱讀
    <b class='flag-5'>全球</b>及中國(guó)Al<b class='flag-5'>服務(wù)器</b><b class='flag-5'>市場(chǎng)</b>規(guī)模情況

    開啟數(shù)字化轉(zhuǎn)型新紀(jì)元,華為云引領(lǐng)輕量應(yīng)用服務(wù)器創(chuàng)新

    近年來,中國(guó)服務(wù)器市場(chǎng)呈現(xiàn)出蓬勃的增長(zhǎng)勢(shì)頭,迅速崛起成為全球最具潛力的服務(wù)器市場(chǎng)之一。根據(jù)最新的
    的頭像 發(fā)表于 11-28 11:54 ?297次閱讀
    開啟數(shù)字化轉(zhuǎn)型新紀(jì)元,華為云引領(lǐng)輕量應(yīng)用<b class='flag-5'>服務(wù)器</b>創(chuàng)新

    不讓競(jìng)品活了?華為云這款輕量應(yīng)用服務(wù)器更強(qiáng)規(guī)格來襲!

    《2022 服務(wù)器行業(yè)研究報(bào)告》顯示,中國(guó)服務(wù)器市場(chǎng)增長(zhǎng)
    的頭像 發(fā)表于 11-20 09:49 ?325次閱讀
    不讓競(jìng)品活了?華為云這款輕量應(yīng)用<b class='flag-5'>服務(wù)器</b>更強(qiáng)規(guī)格來襲!