0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Laplacian算子的FPGA實(shí)現(xiàn)方法

姚小熊27 ? 來(lái)源:電路城論壇 ? 作者:Casper.T ? 2020-06-16 17:47 ? 次閱讀

在圖像處理系統(tǒng)中常需要對(duì)圖像進(jìn)行預(yù)處理。由于圖像處理的數(shù)據(jù)量大,對(duì)于實(shí)時(shí)性要求高的系統(tǒng), 采用軟件實(shí)現(xiàn)通常難以滿足實(shí)時(shí)性的要求。AlteraQuartusⅡ作為一種可編程邏輯的設(shè)計(jì)環(huán)境,由于其強(qiáng)大的設(shè)計(jì)能力和直觀易用的接口,越來(lái)越受 到數(shù)字系統(tǒng)設(shè)計(jì)者的歡迎。QuartusⅡ支持Altera的IP核,包含了LPM/Megafunctions宏功能模塊庫(kù),設(shè)計(jì)者只需要選取設(shè)置這些 功能模塊的相關(guān)參數(shù)就可以在程序中調(diào)用,從而使用戶可以充分利用成熟的模塊,大大簡(jiǎn)化了設(shè)計(jì)的復(fù)雜性,加快了設(shè)計(jì)速度。

拉普拉斯算子是一種重要的圖像增強(qiáng)算子,它是一種各向同性濾波器,即濾波器的響應(yīng)與濾波器作用圖像的突變方向無(wú)關(guān),而且實(shí)現(xiàn)簡(jiǎn)單,被廣泛用于圖像銳化和高頻增強(qiáng)等算法中。在此,提出一種使用QuartusⅡ開(kāi)發(fā)環(huán)境的Megafunctions功能模塊實(shí)現(xiàn)拉普拉斯算子的方案,可以做到實(shí)時(shí)增強(qiáng)圖像的高頻細(xì)節(jié)。

1 、Laplacian算子介紹拉普拉斯算子是各向同性線性算子,二元函數(shù)f(x,y)的拉普拉斯變換定義為:

Laplacian算子的FPGA實(shí)現(xiàn)方法

基本高通濾波模板中所有系數(shù)的和為0,如果在模板所覆蓋的區(qū)域內(nèi)像素的灰度值都相同或者灰度值的變化較為緩慢.則模板的輸出為0或輸出很小。人們常用的高通提升濾波方法,就是將原圖像乘以一個(gè)放大因子A,再減去低通濾波圖像。高通提升濾波可以表示為: 高通提升濾波圖像=A×原圖像-低通濾波圖像=(A-1)×原圖像+原圖像-低通濾波圖像=(A-1)×原圖像+高通濾波圖像

Laplacian算子的FPGA實(shí)現(xiàn)方法

即:

式中:當(dāng)A=1時(shí),高通提升濾波就是基本的高通濾波;當(dāng)A》1時(shí),部分原圖像被加到高通濾波的結(jié)果上,這就恢復(fù)了部分高通濾波中丟失的低頻成分。因此,經(jīng)過(guò)高通提升濾波的圖像與原圖像更加相像,同時(shí)又對(duì)圖像的邊緣進(jìn)行了增強(qiáng)。進(jìn)行模板卷積的主要步驟為:

(1)將模板在圖中漫游,并將模板中心與圖中某個(gè)像素位置重合;

(2)將模板上系數(shù)與模板下對(duì)應(yīng)像素相乘;

(3)將所有乘積相加;

(4)將和(模板的輸出響應(yīng))賦給圖中對(duì)應(yīng)模板中心位置的像素。當(dāng)模板遍歷圖像中的每個(gè)像素就得到圖像濾波結(jié)果。實(shí)現(xiàn)Laplacian高通提升濾波的模板如圖1所示。

Laplacian算子的FPGA實(shí)現(xiàn)方法

文獻(xiàn)[2]的實(shí)驗(yàn)證明,使用圖1(a)所示掩模能得到更好的銳化效果,所以在硬件實(shí)現(xiàn)時(shí)使用該掩模。實(shí)驗(yàn)中取A=1。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21539

    瀏覽量

    600518
  • Laplacian
    +關(guān)注

    關(guān)注

    0

    文章

    3

    瀏覽量

    6842
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    基于 DSP5509 進(jìn)行數(shù)字圖像處理中 Sobel 算子邊緣檢測(cè)的硬件連接電路圖

    使用 FPGA 或?qū)S玫膱D像處理芯片與 DSP5509 協(xié)同工作,提高邊緣檢測(cè)的速度。 并行處理:利用 DSP5509 的并行處理能力,同時(shí)對(duì)多個(gè)像素點(diǎn)進(jìn)行 Sobel 算子計(jì)算,提高處理效率??梢酝ㄟ^(guò)
    發(fā)表于 09-25 15:25

    如何在FPGA實(shí)現(xiàn)按鍵消抖

    按鍵操作。因此,實(shí)現(xiàn)有效的按鍵消抖機(jī)制對(duì)于提高系統(tǒng)的穩(wěn)定性和可靠性至關(guān)重要。以下是在FPGA實(shí)現(xiàn)按鍵消抖的詳細(xì)步驟和策略,包括原理、方法、代碼示例及優(yōu)化建議。
    的頭像 發(fā)表于 08-19 18:15 ?990次閱讀

    摩爾線程攜手智源研究院完成基于Triton的大模型算子庫(kù)適配

    里,即成功完成了近60個(gè)算子的功能驗(yàn)證,精度符合交付標(biāo)準(zhǔn),并實(shí)現(xiàn)對(duì)Bert-large模型的全面支持。FlagGems算子庫(kù)在摩爾線程MUSA架構(gòu)上展現(xiàn)出了接近手寫算子的計(jì)算性能,且性
    的頭像 發(fā)表于 08-02 11:06 ?660次閱讀

    如何在FPGA實(shí)現(xiàn)狀態(tài)機(jī)

    FPGA(現(xiàn)場(chǎng)可編程門陣列)中實(shí)現(xiàn)狀態(tài)機(jī)是一種常見(jiàn)的做法,用于控制復(fù)雜的數(shù)字系統(tǒng)行為。狀態(tài)機(jī)能夠根據(jù)當(dāng)前的輸入和系統(tǒng)狀態(tài),決定下一步的動(dòng)作和新的狀態(tài)。這里,我們將詳細(xì)探討如何在FPGA設(shè)計(jì)中
    的頭像 發(fā)表于 07-18 15:57 ?363次閱讀

    FPGA異步信號(hào)處理方法

    FPGA(現(xiàn)場(chǎng)可編程門陣列)在處理異步信號(hào)時(shí),需要特別關(guān)注信號(hào)的同步化、穩(wěn)定性以及潛在的亞穩(wěn)態(tài)問(wèn)題。由于異步信號(hào)可能來(lái)自不同的時(shí)鐘域或外部設(shè)備,其到達(dá)時(shí)間和頻率可能不受FPGA內(nèi)部時(shí)鐘控制,因此處理起來(lái)相對(duì)復(fù)雜。以下是對(duì)FPGA
    的頭像 發(fā)表于 07-17 11:10 ?891次閱讀

    FPGA頻率測(cè)量的方法有哪些?

    FPGA在實(shí)際應(yīng)用中,頻率測(cè)量不可或缺,對(duì)于高頻及低頻信號(hào)的頻率測(cè)量,FPGA有哪些方法呢?提供Verilog源碼會(huì)更好。
    發(fā)表于 06-19 14:55

    FPGA設(shè)計(jì)經(jīng)驗(yàn)之圖像處理

    的算法根本就達(dá)不到實(shí)時(shí)。另外別小看了這種NxN算子法,它可以有各種組合和玩法,可以實(shí)現(xiàn)分選多種顏色,甚至分辨簡(jiǎn)單形狀等功能。FPGA進(jìn)行的這種算子法處理是并行流水線算法,其延時(shí)是固定的
    發(fā)表于 06-12 16:26

    基于FPGA的實(shí)時(shí)邊緣檢測(cè)系統(tǒng)設(shè)計(jì),Sobel圖像邊緣檢測(cè),FPGA圖像處理

    的主要特征提取手段 。由于實(shí)時(shí)視頻圖像的邊緣檢測(cè)需要處理的數(shù)據(jù)量非常大,所以采用一般的軟件方法實(shí)現(xiàn)起來(lái)處理速度慢,無(wú)法滿足實(shí)時(shí)性的要求。 隨著可編程邏輯器件(FPGA)的高速發(fā)展,使用 FPG
    發(fā)表于 05-24 07:45

    深度剖析FPGA實(shí)現(xiàn)ARM系統(tǒng)處理的解決方案

    基于FPGA的單芯片實(shí)現(xiàn)方法具有低成本和快速面市等優(yōu)點(diǎn),是多芯片和ASICSoC非常有吸引力的替代方案
    發(fā)表于 03-21 14:04 ?516次閱讀
    深度剖析<b class='flag-5'>FPGA</b><b class='flag-5'>實(shí)現(xiàn)</b>ARM系統(tǒng)處理的解決方案

    FPGA實(shí)現(xiàn)原理

    FPGA(Field-Programmable Gate Array,現(xiàn)場(chǎng)可編程門陣列)是一種特殊的集成電路,其內(nèi)部結(jié)構(gòu)由大量的可配置邏輯塊和互連線組成。FPGA可以通過(guò)編程來(lái)實(shí)現(xiàn)各種數(shù)字系統(tǒng)功能
    發(fā)表于 01-26 10:03

    IIC總線的FPGA實(shí)現(xiàn)說(shuō)明

    DE2_TV中,有關(guān)于寄存器的配置的部分,采用的方法是通過(guò)IIC的功能,這里對(duì)IIC總線的FPGA實(shí)現(xiàn)做個(gè)說(shuō)明。
    的頭像 發(fā)表于 01-05 10:16 ?941次閱讀
    IIC總線的<b class='flag-5'>FPGA</b><b class='flag-5'>實(shí)現(xiàn)</b>說(shuō)明

    如何能夠實(shí)現(xiàn)通用FPGA問(wèn)題?

    FPGA 是一種偽通用計(jì)算加速器,與 GPGPU(通用 GPU)類似,FPGA 可以很好地卸載特定類型的計(jì)算。從編程角度上講,FPGA 比 CPU 更難,但從工作負(fù)載角度上講 FPGA
    發(fā)表于 12-29 10:29 ?388次閱讀

    OpenCV邊緣檢測(cè)算子Laplace、LoG詳解

    一階導(dǎo)數(shù)算子(例如 Sobel 算子)通過(guò)對(duì)圖像求導(dǎo)來(lái)確定圖像的邊緣,數(shù)值絕對(duì)值較高的點(diǎn)對(duì)應(yīng)了圖像的邊緣。如果繼續(xù)求二階導(dǎo),原先數(shù)值絕對(duì)值較高的點(diǎn)對(duì)應(yīng)了過(guò)零點(diǎn)。因此,也可以通過(guò)找到二階導(dǎo)數(shù)的過(guò)零點(diǎn)來(lái)檢測(cè)邊緣。在某些情況下,找二階導(dǎo)數(shù)的過(guò)零點(diǎn)可能更容易。
    的頭像 發(fā)表于 12-21 16:34 ?1840次閱讀
    OpenCV邊緣檢測(cè)<b class='flag-5'>算子</b>Laplace、LoG詳解

    基于FPGA的速度和位置測(cè)量板卡設(shè)計(jì)實(shí)現(xiàn)

    電子發(fā)燒友網(wǎng)站提供《基于FPGA的速度和位置測(cè)量板卡設(shè)計(jì)實(shí)現(xiàn).pdf》資料免費(fèi)下載
    發(fā)表于 11-08 09:49 ?0次下載
    基于<b class='flag-5'>FPGA</b>的速度和位置測(cè)量板卡設(shè)計(jì)<b class='flag-5'>實(shí)現(xiàn)</b>

    基于FPGA的OFDM調(diào)制器設(shè)計(jì)與實(shí)現(xiàn)

    電子發(fā)燒友網(wǎng)站提供《基于FPGA的OFDM調(diào)制器設(shè)計(jì)與實(shí)現(xiàn).pdf》資料免費(fèi)下載
    發(fā)表于 10-26 09:25 ?2次下載
    基于<b class='flag-5'>FPGA</b>的OFDM調(diào)制器設(shè)計(jì)與<b class='flag-5'>實(shí)現(xiàn)</b>