0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

講解臺積電的7納米節(jié)點技術(shù)設(shè)計規(guī)則細(xì)節(jié)

我快閉嘴 ? 來源:EETOP ? 作者:EETOP ? 2020-07-29 10:58 ? 次閱讀

在日本舉行的2019年VLSI研討會結(jié)束后,臺積電舉行了一次小型新聞發(fā)布會。介紹了關(guān)于最新工藝及封裝技術(shù),下面是詳細(xì)內(nèi)容:

N7

臺積電認(rèn)為他們的7納米節(jié)點(N7)是目前最先進(jìn)的邏輯技術(shù)。在最近的VSLI研討會上,臺積電共同撰寫了一篇關(guān)于他們7納米節(jié)點的論文,我們最近介紹了該論文的設(shè)計規(guī)則細(xì)節(jié)。除少數(shù)主要客戶外,大多數(shù)臺積電客戶據(jù)說直接從N16到N7。N10節(jié)點被認(rèn)為是一個短命節(jié)點,主要用作產(chǎn)量學(xué)習(xí)。當(dāng)從N16轉(zhuǎn)到N7時,N7提供3.3倍的柵極柵密度以及約35-40%的速度提升或65%的低功率。

N7工藝的一個關(guān)鍵亮點是它的缺陷密度。臺積電表示,從N10節(jié)點學(xué)習(xí),N7 D0減少斜坡是有史以來最快的,平穩(wěn)到與之前節(jié)點相當(dāng)?shù)乃健kS著公司加入HPC,他們開始分別為移動客戶和HPC客戶報告缺陷密度,芯片尺寸為250平方毫米和更大。

從N10節(jié)點吸取教訓(xùn),N7 D0 的 reduction ramp 速度是有史以來最快的,與之前的節(jié)點持平。隨著公司進(jìn)軍高性能計算領(lǐng)域,他們開始分別為移動客戶和裸片尺寸為250平方毫米及以上的高性能計算客戶報告缺陷密度。

臺積電對其7納米節(jié)點的需求在過去半年中環(huán)比略有下降,環(huán)比約為1%。收入的絕大部分繼續(xù)來自他們非常成熟的16納米節(jié)點。然而,第二季度晶圓出貨量略有增加,預(yù)計第二季度將出現(xiàn)這種情況。當(dāng)比較較長的趨勢時,這實際上是3年來第二季度的最低量。盡管如此,他們認(rèn)為N7將在全年達(dá)到收入的25%。

臺積電發(fā)現(xiàn),去年上半年,該公司7納米節(jié)點的需求環(huán)比略有下降,約為1%。收入的大部分繼續(xù)來自他們非常成熟的16納米節(jié)點。然而,晶圓出貨量略有增加,這是對第二季度的普遍預(yù)期。與長期趨勢相比,這實際上是3年來第二季度的最低成交量。盡管如此,他們相信N7將達(dá)到全年收入的25%。

技術(shù)節(jié)點按收益分享,WikiChip分析

臺積電晶圓出貨

N7P

臺積電已經(jīng)開始推出一款名為N7性能增強(qiáng)版(N7P)的N7工藝的優(yōu)化版本。不應(yīng)將N7P與N7+混淆。N7P是一種優(yōu)化的基于DUV的過程,它使用相同的設(shè)計規(guī)則,與N7完全I(xiàn)P兼容。N7P引入了FEOL和MOL優(yōu)化,據(jù)稱可以在等功率下提高7%的性能,或者在等速時提高10%的功耗。

N7+

臺積電的N7+是他們在幾個關(guān)鍵層采用EUV的第一個工藝技術(shù)。N7+上個季度(第二季度)進(jìn)入量產(chǎn)階段。臺積電表示,它們的產(chǎn)量與N7相當(dāng)。與N7工藝相比,N7+的密度提高了1.2倍左右。據(jù)說N7+在同等功率時性能提高10%,或者在等功率時性能降低15%。從紙面上看,N7+似乎略好于N7P。不過請記住,這些改進(jìn)只能通過新的物理重新實施和新的EUV掩模來獲得。

N6

N6計劃使用比N7+更多的EUV層。它既是設(shè)計規(guī)則,也是與N7的IP兼容,旨在成為大多數(shù)客戶的主要遷移路徑。N6設(shè)計可以在N6上再次利用EUV掩模和保真度改進(jìn)或重新實施,以利用聚合物擴(kuò)散邊緣(PODE)和連續(xù)擴(kuò)散(CNOD)標(biāo)準(zhǔn)單元基臺規(guī)則,據(jù)說可以提供額外的18%的密度改進(jìn)。值得強(qiáng)調(diào)的是,N6的獨(dú)特之處在于,它實際上將在明年年初進(jìn)入風(fēng)險生產(chǎn),并在2020年年底前達(dá)到峰值。臺積電表示:N6是基于N7+和N5 EUV的經(jīng)驗教訓(xùn)之上的改進(jìn)。

N5

臺積電5納米工藝是N7之后的下一個“全節(jié)點”。N5在今年第一季度進(jìn)入了風(fēng)險試產(chǎn)階段,預(yù)計這一過程將在2020年上半年加速。N5在“多層”上廣泛使用EUV。臺積電已顯示出非常高的產(chǎn)量,就D0而言,它們與N7生產(chǎn)工藝的發(fā)展軌跡相似。N5計劃作為一個長期存在的節(jié)點,預(yù)計在收入方面將比N7增長得更快。

與N7相比,N5可提供1.8倍的邏輯密度。在性能方面,N5的等功率性能提高15%,在同等性能下降低功耗30%。與N7一樣,N5將有兩種類型 - 移動客戶和高性能計算(HPC)。HPC將單元提供額外的選項,與N7相比,性能提升高達(dá)25%。

N5P

與他們的7納米工藝一樣,臺積電將提供其N5工藝的優(yōu)化版本,稱為N5性能增強(qiáng)版(N5P)。此工藝使用相同的設(shè)計規(guī)則,與N5完全I(xiàn)P兼容。通過FEOL和MOL優(yōu)化,N5P在等功率時比N5性能提高7%,在等性能方面降低15%的功耗。N5P的時間表有點目前還比較模糊,但臺積電暗示到2020年底或2021年初將會量產(chǎn)。

N3

臺積電表示他們的3納米工藝進(jìn)展順利。N3預(yù)計將在2022年左右推出。雖然臺積電之前已經(jīng)談到GAA作為FinFET的潛在繼承者,但臺積電和英特爾都在證明,目前更容易制造的FinFET可以在性能上得到足夠的擴(kuò)展。另一個節(jié)點。我們目前認(rèn)為臺積電可能會繼續(xù)使用FinFET作為其N3,但將在后續(xù)節(jié)點中轉(zhuǎn)移到GAA。

WikiChip的分析

下一代封裝

隨著前沿節(jié)點的復(fù)雜性和成本的增加,對基于芯片的解決方案的需求不斷增長。主要的三個原因是將裸片分成更小的芯片,利用較舊的,成熟的模塊和SoC的其他部分節(jié)點,這些節(jié)點不一定能很好地擴(kuò)展,并通過HBM等組件實現(xiàn)更高的系統(tǒng)集成。

臺積電提供了許多技術(shù),作為其晶圓級系統(tǒng)集成(WLSI)平臺的一部分,該平臺旨在涵蓋從低空閑移動應(yīng)用程序到高性能計算的所有領(lǐng)域。他們的芯片-晶圓-基板(CoWoS)封裝的目標(biāo)是人工智能網(wǎng)絡(luò)和高性能計算應(yīng)用,而其集成扇出(InFo)封裝則面向網(wǎng)絡(luò)和移動應(yīng)用。

TSMC InFO封裝是他們的一般扇出晶圓級封裝(FOWLP)解決方案,根據(jù)應(yīng)用有許多不同的風(fēng)格。InFO使用密集RDL和精細(xì)間距通過封裝過孔(TSMC也通過InFO過孔或TIV調(diào)用)。它們集成在基板上的扇出(InFO_oS),帶有基板存儲器的InFO(InFO_MS)和InFO超高密度(InFO_UHD)適用于從高性能移動設(shè)備到網(wǎng)絡(luò)和HPC應(yīng)用的任何設(shè)備。

特別是對于5G移動平臺,TSMC具有InFO POP(InFO_POP),用于移動應(yīng)用,用于RF前端模塊(FEM)應(yīng)用的InFO Antenna-in-package(InFO_AiP)以及用于RF前端模塊(MUST)的多堆棧(MUST)。基帶調(diào)制解調(diào)器。

3D-MiM用于更高帶寬

InFO_POP最早的例子之一是2016年發(fā)布的Apple A10(先前處理器具有常規(guī)POP)。然而,即使InFO_POP也存在由于控制器和TIV音調(diào)而導(dǎo)致內(nèi)存帶寬受限的缺點。即將到來的5G和AI邊緣/移動應(yīng)用程序本質(zhì)上更多的內(nèi)存帶寬受限,這個問題進(jìn)一步惡化。為了克服這個問題,臺積電宣布了3D-MUST-in-MUST封裝技術(shù)(請注意,MUST代表多堆疊)。3D-MiM通過使用高密度RDL和細(xì)間距TIV的集成扇出(InFO)WLS集成,集成了多個垂直堆疊的存儲芯片。正如您可能想象的那樣,I / O必須暴露在芯片的一側(cè),這些芯片獨(dú)立地連接到SoC,形成一個寬I / O接口。

臺積電在單個封裝中展示了具有16個存儲器芯片的SoC技術(shù)。該芯片的占位面積為15毫米×15毫米,高度僅為0.55毫米。與倒裝芯片POP封裝相比,該芯片在高度的一半處具有兩倍的存儲器帶寬。

臺積電吹捧了許多其他優(yōu)勢。由于沒有襯底和沒有凸塊,因此從存儲器I / O到SoC的距離要短得多,從而產(chǎn)生更好的電氣性能特性。此外,據(jù)說更薄的外形可提供更好的散熱性能。

順便說一下,3D-MiM不僅限于單個SoC。實際上,臺積電談到了使用多個SoC以及大量存儲芯片(例如,具有32個存儲芯片的2個SoC),以便創(chuàng)建具有高帶寬和低功率的HPC應(yīng)用,作為當(dāng)前2.5D(例如HBM)的替代技術(shù)。這里的一個關(guān)鍵區(qū)別是InFO存儲器芯片各自直接連接到SoC而無需基本邏輯芯片。

InFO封裝天線(InFO_AiP)

TSMC專門針對5G毫米波系統(tǒng)集成,開發(fā)了InFO天線封裝(InFO_AiP)。該封裝試圖解決的是實際芯片和天線之間的鏈路或互連,這會導(dǎo)致嚴(yán)重的傳輸損耗。TSMC通過在RDL中實現(xiàn)的插槽耦合貼片以及模塑化合物本身中的嵌入式RF芯片來實現(xiàn)這一點,該芯片直接互連到RDL而沒有凸塊。

由于天線和芯片之間的互連的性能是表面粗糙度和芯片與封裝之間的過渡的函數(shù),因此InFO材料和RDL均勻性允許更低的傳輸損耗。與倒裝芯片AiP相比,臺積電聲稱它可以提供高達(dá)15%的性能,熱阻降低15%,同時降低30%。

網(wǎng)絡(luò)和高性能計算

對于高性能計算和網(wǎng)絡(luò)應(yīng)用,TSMC在基板和存儲器(_oS / _MS)上提供CoWoS和InFO。

CoWoS可以擴(kuò)展到2個標(biāo)線,具有0.4μm/0.4μm的激進(jìn)線/間距。這是一種非常成熟的技術(shù),具有非常高的產(chǎn)量,已經(jīng)批量生產(chǎn)超過五年。CoWoS已經(jīng)廣泛用于GPU,但也可以在各種網(wǎng)絡(luò)應(yīng)用中找到。臺積電稱到目前為止他們已經(jīng)有超過15個流片。

目前,CoWoS支持高達(dá)1.5 TB / s的6個HBM2模塊。臺積電報告研究更高帶寬的解決方案以及超過3個掩模版的更大硅片面積。

對于網(wǎng)絡(luò)應(yīng)用,TSMC在基板上提供InFO,可以達(dá)到最多1個掩模版的集成Si區(qū)域,但具有1.5μm/1.5μm的略微更寬松的L / S間距。當(dāng)前技術(shù)的最小I / O間距為40μm,最小C4凸點間距為130μm。InFO_oS的生產(chǎn)在2018年第二季度開始增長。他們目前正在努力實現(xiàn)兩個以上芯片的集成以及1.5x掩模版尺寸的硅面積。
責(zé)任編輯:tzh

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 芯片
    +關(guān)注

    關(guān)注

    452

    文章

    49938

    瀏覽量

    419620
  • 臺積電
    +關(guān)注

    關(guān)注

    43

    文章

    5570

    瀏覽量

    165869
  • 晶圓
    +關(guān)注

    關(guān)注

    52

    文章

    4778

    瀏覽量

    127572
收藏 人收藏

    評論

    相關(guān)推薦

    2025年繼續(xù)漲價,5/3納米制程產(chǎn)品預(yù)計漲幅3~8%

    據(jù)業(yè)內(nèi)資深人士透露,全球芯片制造巨頭已不僅限于2024年的價格調(diào)整策略,而是將漲價趨勢延續(xù)至2025年。近期,
    的頭像 發(fā)表于 08-08 09:57 ?987次閱讀

    2納米工藝生產(chǎn)設(shè)備提前部署完成

    臺灣半導(dǎo)體制造巨頭(TSMC)在半導(dǎo)體技術(shù)領(lǐng)域的領(lǐng)先地位再次得到強(qiáng)化,據(jù)投資銀行瑞銀集團(tuán)(UBS)最新發(fā)布的報告顯示,
    的頭像 發(fā)表于 07-04 09:32 ?422次閱讀

    電大客戶包下3納米產(chǎn)能

    隨著人工智能(AI)服務(wù)器、高性能計算(HPC)應(yīng)用以及高階智能手機(jī)AI化的迅速發(fā)展,全球科技巨頭紛紛將目光鎖定在了的3納米家族制程產(chǎn)能上。據(jù)最新報道,蘋果、高通、英偉達(dá)、AMD
    的頭像 發(fā)表于 06-12 10:00 ?407次閱讀

    擴(kuò)增3nm產(chǎn)能,部分5nm產(chǎn)能轉(zhuǎn)向該節(jié)點

    目前,蘋果、高通、聯(lián)發(fā)科等世界知名廠商已與電能達(dá)成緊密合作,預(yù)示將繼續(xù)增加 5nm產(chǎn)能至該節(jié)點
    的頭像 發(fā)表于 03-19 14:09 ?516次閱讀

    熊本廠開幕 計劃年底量產(chǎn)

    熊本廠開幕 計劃年底量產(chǎn) 熊本第一廠今天正式開幕,計劃到年底量產(chǎn);預(yù)期總產(chǎn)能將達(dá) 4
    的頭像 發(fā)表于 02-24 19:25 ?1122次閱讀

    領(lǐng)跑半導(dǎo)體市場:2納米制程領(lǐng)先行業(yè),3納米產(chǎn)能飆升

    預(yù)期,目前營收總額約 70% 是來自 16 納米以下先進(jìn)制程技術(shù),隨著 3 納米和 2
    的頭像 發(fā)表于 02-21 16:33 ?698次閱讀

    或在日本建第二座工廠!

    來源:滿天芯,謝謝 編輯:感知芯視界 Link 在沖刺2納米新廠建設(shè)之際,海外布局也有新消息,傳最快2月6日宣布在日本興建熊本二廠,不排除導(dǎo)入
    的頭像 發(fā)表于 01-30 09:39 ?479次閱讀

    在2nm制程技術(shù)上展開防守策略

    的2nm技術(shù)是3nm技術(shù)的延續(xù)。一直以來,
    發(fā)表于 01-25 14:14 ?377次閱讀

    的1納米技術(shù)挑戰(zhàn)與成本壓力的博弈

    1納米尺寸的芯片制造面臨著物理極限的挑戰(zhàn),可能導(dǎo)致晶體管的性能下降甚至失效。作為半導(dǎo)體行業(yè)的重要參與者之一,已經(jīng)宣布開始研發(fā)1納米工藝
    的頭像 發(fā)表于 01-22 14:18 ?706次閱讀

    7nm降幅約為5%~10%

    7納米制程產(chǎn)能利用率較低,今年第三季度營收占比降至17%,明顯低于今年二季度的23%和去年二季度的26%。
    的頭像 發(fā)表于 12-04 16:00 ?643次閱讀

    客戶群擴(kuò)大 再現(xiàn)排隊潮

    外傳3納米首發(fā)客戶蘋果包下首批產(chǎn)能至少一年;除了蘋果之外,marvell之前也發(fā)表了與
    的頭像 發(fā)表于 12-04 11:23 ?536次閱讀

    晶圓代工廠降價潮來襲,降幅5%-10%

    宣布將對其7納米制程進(jìn)行降價,預(yù)計降幅在5%至10%左右,旨在緩解產(chǎn)能利用率下降的壓力。
    的頭像 發(fā)表于 11-30 16:15 ?559次閱讀

    2nm將面臨3大挑戰(zhàn)?

    日前,董事長劉德音在出席第一屆李國鼎獎頒獎典禮,在媒體追問 1.4 納米先進(jìn)制程的進(jìn)度時表示,
    的頭像 發(fā)表于 11-22 17:05 ?598次閱讀

    考慮在日本建設(shè)晶圓三廠 生產(chǎn)3納米芯片

    據(jù)悉,3納米工藝目前是市場上最先進(jìn)的半導(dǎo)體制造技術(shù),但如果的潛在晶圓廠啟動,可能會落后1、2代。三
    的頭像 發(fā)表于 11-22 10:38 ?592次閱讀

    2納米黑科技-晶背供電

    近期,總裁魏哲家在一次法說會中透露了有關(guān)2納米芯片的最新進(jìn)展,并提到了“晶背供電”技術(shù),這個領(lǐng)域的神秘黑科技正逐漸引起人們的興趣。
    的頭像 發(fā)表于 10-27 14:59 ?617次閱讀