0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

如何閱讀時序報告并從中發(fā)現(xiàn)問題

FPGA技術(shù)驛站 ? 來源:Lauren的FPGA ? 作者:Lauren的FPGA ? 2020-08-31 13:52 ? 次閱讀

生成時序報告后,如何閱讀時序報告并從時序報告中發(fā)現(xiàn)導(dǎo)致時序違例的潛在問題是關(guān)鍵。 首先要看Design Timing Summary在這個Summary里,呈現(xiàn)了Setup、Hold和Pulse Width的總體信息,但凡WNS、WHS或WPWS有一個小于0,就說明時序未收斂。

找到時序最糟糕的路徑如果時序未收斂,并不需要分析所有未收斂的路徑,而是先關(guān)注時序最糟糕的路徑,先優(yōu)化這些路徑,有可能優(yōu)化這些路徑之后,這些路徑收斂了,同時其他路徑也能夠收斂。只需要點擊上圖中WNS之后的數(shù)字,即可顯示這些最糟糕的路徑,如下圖所示。

分析時序最糟糕的路徑只需要雙擊上圖中的路徑,就能顯示該路徑對應(yīng)的時序報告的詳細信息,如下圖所示。在這個報告中,首先可以看到Slack,其值為負,表明時序未收斂。接著看Source和Destination。通常,Source為時鐘端口,如圖中觸發(fā)器的C端口;Destination為數(shù)據(jù)端口,如圖中觸發(fā)器的D端口。從Source和Destination還可以看到起始cell和終止cell的驅(qū)動時鐘,從而可判定該路徑是否為跨時鐘域路徑。這一點也可以從Requirement部分給出的信息加以驗證。圖中Requirement顯示均為同一時鐘,故此處為單一時鐘下的時序路徑。緊接著Path Type為Setup,表明該報告為建立時間路徑報告,其后的信息Max at Slow Process Corner其中的Slow意味著High Temperature Low Voltage。如果是Path Type為Hold,表明該報告為保持時間路徑報告,其后的信息為Min at Fast Process Corner,F(xiàn)ast意味著Low Temperature High Voltage。對于Requirement一欄,一定要看Requirement是否合理,例如,如果Requirement為1ns,那么顯然是不合理的,這說明時序約束本身有問題。其后的Data Path Delay由兩部分構(gòu)成,邏輯延遲(對應(yīng)圖中的logic)和線延遲(對應(yīng)圖中的route)。這一欄同時顯示了每部分延遲占的百分比。對于7系列FPGA,如果邏輯延遲超過了25%,那么說明時序違例的主要原因是邏輯級數(shù)太高了;對于UltraScale系列FPGA,這個指標(biāo)則為50%。對于7系列FPGA,如果線延遲超過了75%,那么說明時序違例的主要原因是線延遲太高了;對于UltraScale系列FPGA,這個指標(biāo)則為50%。對于Logic Levels,通常認為1個LUT+1根net的延遲為0.5ns,據(jù)此來評估邏輯級數(shù)是否過高。例如如果時鐘為100MHz,那么邏輯級數(shù)在10/0.5=20左右是可以接受的。對于Clock Path Skew,如果該值超過了0.5ns,就要關(guān)注;對于Clock Uncertainty,如果該時鐘是由MMCM或PLL生成,且Discrete Jitter超過了50ps,就要回到Clocking Wizard界面嘗試修改參數(shù)改善Discrete Jitter。

對于跨時鐘域路徑,如下圖所示,從Requirement部分信息可以看到源時鐘和目的時鐘是不同的,即可表明該路徑為跨時鐘域路徑。這里Requirement為1ns,顯然是不合理的,這說明跨時鐘域路徑的約束不合理。

總體而言,打開時序報告,要看路徑Source、Destination、Requirement、Data Path Delay、Logic Levels、Clock Path Skew和Clock Uncertainty。還有一點至關(guān)重要,時序分析在綜合之后就要開始分析,而不是等到布局布線之后再看。綜合之后著重分析的是邏輯級數(shù)、資源利用率和控制集。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 端口
    +關(guān)注

    關(guān)注

    4

    文章

    934

    瀏覽量

    31945
  • 時序
    +關(guān)注

    關(guān)注

    5

    文章

    380

    瀏覽量

    37230

原文標(biāo)題:時序報告要看哪些指標(biāo)

文章出處:【微信號:Lauren_FPGA,微信公眾號:FPGA技術(shù)驛站】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    【GoKit試用體驗】+ 發(fā)現(xiàn)問題(2)

    實物圖紅色標(biāo)注的地方和原理圖、PCB圖不統(tǒng)一,我的三色LED自從發(fā)現(xiàn)了【GoKit試用體驗】+ 發(fā)現(xiàn)問題(1) 提到的問題后,就沒好用過,望大神支招,謝謝~`
    發(fā)表于 07-09 10:39

    FPGA實戰(zhàn)演練邏輯篇65:CMOS攝像頭接口時序設(shè)計5時序報告

    CMOS攝像頭接口時序設(shè)計5時序報告(特權(quán)同學(xué)版權(quán)所有)本文節(jié)選自特權(quán)同學(xué)的圖書《FPGA設(shè)計實戰(zhàn)演練(邏輯篇)》(特權(quán)同學(xué)版權(quán)所有)配套例程下載鏈接:http://pan.baidu.com/s
    發(fā)表于 08-19 21:58

    如何實現(xiàn)硬件FPGA中的時序報告給出的時序

    大家好,我想知道如何實現(xiàn)硬件(FPGA)中的時序報告給出的時序。我的意思是,如何測量FPGA和FPGA中輸入信號的建立或保持時間與靜態(tài)時間報告給出的值進行比較。FPGA怪胎以上來自于谷
    發(fā)表于 01-15 11:07

    請問我是否可以將天線連接到我的spartan-3e板并從中發(fā)送信號?

    嗨,大家好!我想問一下,我是否可以將天線連接到我的spartan-3e板并從中發(fā)送信號?以上來自于谷歌翻譯以下為原文Hi everyone! i want to ask if i can
    發(fā)表于 06-06 09:09

    10GBASE-R生成GTH核心并從中復(fù)制GTH設(shè)置?

    嗨。在我的項目中,我使用Virtex 7和4 GTH接收40G流。我使用收發(fā)器向?qū)?0GBASE-R生成GTH核心并從中復(fù)制GTH設(shè)置。這是正確的方式還是我需要使用GTH的特殊設(shè)置(RXCDR_CFG等...)?
    發(fā)表于 07-31 10:27

    Vivado下顯示指定路徑時序報告的流程

      Vivado運行Report Timing Summary時,只顯示各個子項目最差的十條路徑,很可能并不包含你最關(guān)心的路近,這個時候顯示指定路徑的時序報告就顯得很重要了,下面就簡單介紹一下
    發(fā)表于 01-15 16:57

    時序約束與時序分析 ppt教程

    時序約束與時序分析 ppt教程 本章概要:時序約束與時序分析基礎(chǔ)常用時序概念QuartusII中的時序
    發(fā)表于 05-17 16:08 ?0次下載

    電動汽車交流充電樁的設(shè)計文獻閱讀綜述報告

    電動汽車交流充電樁的設(shè)計文獻閱讀綜述報告
    發(fā)表于 05-24 17:19 ?0次下載

    如何使用RPX文件保存和恢復(fù)時序報告

    了解如何使用RPX文件保存和恢復(fù)時序報告。 對于基于項目的用戶,當(dāng)您打開實施的設(shè)計時,實施的時間報告會自動打開。 對于非基于項目的用戶,您可以保存RPX文件以進行比較。
    的頭像 發(fā)表于 11-27 07:20 ?3302次閱讀

    研究人員在5G標(biāo)準(zhǔn)中發(fā)現(xiàn)漏洞,安全問題會相應(yīng)地增長

    無線行業(yè)人士認為,5G將是迄今為止最安全的無線傳輸技術(shù)。但是不斷有研究報告稱,研究人員持續(xù)在5G標(biāo)準(zhǔn)中發(fā)現(xiàn)漏洞。
    發(fā)表于 11-17 10:58 ?580次閱讀

    如何閱讀時序報告

    生成時序報告后,如何閱讀時序報告并從時序
    的頭像 發(fā)表于 08-31 13:49 ?5997次閱讀
    如何<b class='flag-5'>閱讀</b><b class='flag-5'>時序</b><b class='flag-5'>報告</b>?

    一文知道時序路徑的構(gòu)成

    更為具體的時序報告信息如何從中獲取,或者如何根據(jù)時序報告發(fā)現(xiàn)導(dǎo)致時序違例的潛在原因呢?
    的頭像 發(fā)表于 09-04 10:24 ?1750次閱讀

    時序分析工具對比報告

    電子發(fā)燒友網(wǎng)站提供《時序分析工具對比報告.pdf》資料免費下載
    發(fā)表于 09-27 11:08 ?0次下載
    <b class='flag-5'>時序</b>分析工具對比<b class='flag-5'>報告</b>

    如何讀懂Vivado時序報告

    FPGA開發(fā)過程中,vivado和quartus等開發(fā)軟件都會提供時序報告,以方便開發(fā)者判斷自己的工程時序是否滿足時序要求。
    的頭像 發(fā)表于 06-23 17:44 ?1121次閱讀
    如何讀懂Vivado<b class='flag-5'>時序</b><b class='flag-5'>報告</b>

    如何讀懂FPGA開發(fā)過程中的Vivado時序報告

    FPGA開發(fā)過程中,vivado和quartus等開發(fā)軟件都會提供時序報告,以方便開發(fā)者判斷自己的工程時序是否滿足時序要求。
    發(fā)表于 06-26 15:29 ?899次閱讀
    如何讀懂FPGA開發(fā)過程中的Vivado<b class='flag-5'>時序</b><b class='flag-5'>報告</b>?