0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Xilinx快速傅立葉變換接口及仿真測(cè)試實(shí)驗(yàn)設(shè)計(jì)

454398 ? 來源:FPGA開源工作室微信公眾號(hào) ? 作者:FPGA開源工作室微信 ? 2020-09-28 10:41 ? 次閱讀

1 xilinx FFT IP介紹

Xilinx快速傅立葉變換(FFT IP)內(nèi)核實(shí)現(xiàn)了Cooley-Tukey FFT算法,這是一種計(jì)算有效的方法,用于計(jì)算離散傅立葉變換(DFT)。

1)正向和反向復(fù)數(shù)FFT,運(yùn)行時(shí)間可配置。

2)變換大小N = 2m,m = 3 – 16

3)數(shù)據(jù)采樣精度bx = 8 – 34

4)相位系數(shù)精度bw = 8 – 34

5)算術(shù)類型:

  • °無標(biāo)度(全精度)定點(diǎn)
  • °定標(biāo)定點(diǎn)
  • °浮點(diǎn)數(shù)

6)定點(diǎn)或浮點(diǎn)接口

7)蝴蝶后舍入或截?cái)?/p>

8)Block RAM或分布式RAM,用于數(shù)據(jù)和相位因子存儲(chǔ)

9)可選的運(yùn)行時(shí)可配置轉(zhuǎn)換點(diǎn)大小

10)可擴(kuò)展的定點(diǎn)核心的運(yùn)行時(shí)可配置擴(kuò)展時(shí)間表

11)位/數(shù)字反轉(zhuǎn)或自然輸出順序

12)用于數(shù)字通信系統(tǒng)的可選循環(huán)前綴插入

13)四種架構(gòu)在內(nèi)核大小和轉(zhuǎn)換時(shí)間之間進(jìn)行權(quán)衡

14)位精確的C模型和用于系統(tǒng)建模的MEX功能可供下載

15)有四種運(yùn)算架構(gòu)可供選擇

  • .Pipelined Streaming I/O
  • .Radix-4 Burst I/O
  • .Radix-2 Burst I/O
  • .Radix-2 Lite Burst I/O

2 FFT IP接口介紹

圖1 xilinx FFT IP

1)AXI4-Stream 介紹

AXI4-Stream接口帶來了標(biāo)準(zhǔn)化,并增強(qiáng)了Xilinx IP LogiCORE解決方案的互操作性。除了諸如aclk,acclken和aresetn之類的常規(guī)控制信號(hào)以及事件信號(hào)之外,到內(nèi)核的所有輸入和輸出都通過AXI4-Stream通道進(jìn)行傳輸。通道始終由TVALID和TDATA以及必填字段和可選字段(如TREADY,TUSER和TLAST)組成。TVALID和TREADY一起執(zhí)行握手以傳輸消息,其中有效負(fù)載為TDATA,TUSER和TLAST。內(nèi)核對(duì)包含在TDATA字段中的操作數(shù)進(jìn)行運(yùn)算,并將結(jié)果輸出到輸出通道的TDATA字段中。

圖2 AXI4-Stream時(shí)序圖

圖2顯示了在AXI4-Stream通道中的數(shù)據(jù)傳輸。TVALID由通道的源(主)端驅(qū)動(dòng),而TREADY由接收器(從屬)驅(qū)動(dòng)。TVALID指示有效負(fù)載字段(TDATA,TUSER和TLAST)中的值有效。TREADY表示從機(jī)已準(zhǔn)備好接收數(shù)據(jù)。當(dāng)一個(gè)周期中的TVALID和TREADY均為TRUE時(shí),將發(fā)生傳輸。主機(jī)和從機(jī)分別為下一次傳輸分別設(shè)置TVALID和TREADY。

2)s_axis_config_tdata接口介紹

s_axis_config_tdata接口攜帶配置信息CP_LEN,F(xiàn)WD / INV,NFFT和SCALE_SCH。

NFFT(變換的點(diǎn)大小):NFFT可以是最大變換的大小或任何較小的點(diǎn)大小。例如,1024點(diǎn)FFT可以計(jì)算點(diǎn)大小1024、512、256等。NFFT的值為log2(點(diǎn)大?。T撟侄蝺H在運(yùn)行時(shí)可配置的轉(zhuǎn)換點(diǎn)大小時(shí)出現(xiàn)。

CP_LEN(循環(huán)前綴長(zhǎng)度):從轉(zhuǎn)換結(jié)束起,在輸出整個(gè)轉(zhuǎn)換之前,最初作為循環(huán)前綴輸出的樣本數(shù)。CP_LEN可以是小于點(diǎn)大小的從零到一的任何數(shù)字。該字段僅在循環(huán)前綴插入時(shí)出現(xiàn)。

FWD_INV:指示是執(zhí)行前向FFT變換還是逆向FFT變換(IFFT)。當(dāng)FWD_INV = 1時(shí),將計(jì)算前向變換。如果FWD_INV = 0,則計(jì)算逆變換。

SCALE_SCH伸縮時(shí)間表:對(duì)于突發(fā)I / O架構(gòu),伸縮時(shí)間表由每個(gè)階段的兩位指定,第一階段的伸縮由兩個(gè)LSB給出??s放比例可以指定為3、2、1或0,代表要移位的位數(shù)。N = 1024,Radix-4 Burst I / O的示例縮放計(jì)劃是[1 0 2 3 2](從最后階段到第一階段排序)。對(duì)于N = 128,Radix-2 Burst I / O或Radix-2 Lite Burst I / O,一個(gè)可能的擴(kuò)展時(shí)間表是[1 1 1 1 0 1 2](從最后階段到第一階段排序)。對(duì)于流水線I / O架構(gòu),從兩個(gè)LSB開始,每?jī)蓪?duì)Radix-2級(jí)用兩位指定擴(kuò)展時(shí)間表。例如,N = 256的縮放時(shí)間表可以是[2 2 2 3]。當(dāng)N不是4的冪時(shí),最后一級(jí)的最大位增長(zhǎng)為一位。例如,對(duì)于N = 512,[0 2 2 2 2]或[1 2 2 2 2]是有效的縮放時(shí)間表,但是[2 2 2 2 2]無效。對(duì)于此變換長(zhǎng)度,SCALE_SCH的兩個(gè)MSB只能為00或01。此字段僅可用于縮放算法(非縮放,塊浮點(diǎn)或單精度浮點(diǎn))。

s_axis_config_tdata接口格式:

1.(可選)NFFT加填充

2.(可選)CP_LEN加填充

3.前轉(zhuǎn)/后轉(zhuǎn)

4.(可選)SCALE_SCH

舉例:

內(nèi)核具有可配置的轉(zhuǎn)換大小,最大大小為128點(diǎn),具有循環(huán)前綴插入和3個(gè)FFT通道。內(nèi)核需要配置為執(zhí)行8點(diǎn)變換,并在通道0和1上執(zhí)行逆變換,并在通道2上執(zhí)行前向變換。需要4點(diǎn)循環(huán)前綴。這些字段采用表中的值。

這給出了19位的向量長(zhǎng)度。由于所有AXI通道必須與字節(jié)邊界對(duì)齊,因此需要5個(gè)填充位,從而s_axis_config_tdata的長(zhǎng)度為24位。

3)相關(guān)標(biāo)志信號(hào)

3 xilinx FFT IP的仿真測(cè)試

FFT的長(zhǎng)度選擇8點(diǎn),x輸入序列為x=[1,2,3,4,5,6,7,8];

Matlab驗(yàn)證:

clear all
close all
clc
 
x = [1,2,3,4,5,6,7,8];
y =fft(x,8);
realy=real(y);
imagy=imag(y);


Y的實(shí)部輸出為realy=[36,-4,-4,-4,-4,-4,-4,-4];

Y的虛部輸出為imagy=[0,9.6569,4,1.6569,0,-1.6569,-4,-9.6569];

FPGA仿真驗(yàn)證:

1)IP的設(shè)置

2)仿真頂層

`timescale 1ns / 1ps
module tb_fft_top(
 
    );
    reg aclk;                        
    reg [7 : 0] s_axis_config_tdata;
    reg         s_axis_config_tvalid;        
    wire        s_axis_config_tready;       
    wire [31 : 0] s_axis_data_tdata;  
    reg         s_axis_data_tvalid;          
    wire        s_axis_data_tready;         
    reg         s_axis_data_tlast;           
    wire [31 : 0] m_axis_data_tdata;
    wire        m_axis_data_tvalid;         
    reg         m_axis_data_tready;  
    wire        m_axis_data_tlast;
    reg [15:0] real_data;
    reg [15:0] imag_data;
    wire [15:0] real_dataout;
    wire [15:0] imag_dataout;
    reg [9:0]  cnt;
    assign s_axis_data_tdata={real_data,imag_data};
    assign real_dataout = m_axis_data_tdata[31:16];
    assign imag_dataout = m_axis_data_tdata[15:0];
    initial begin
      aclk = 0;
      s_axis_config_tdata=8'b0;
      s_axis_config_tvalid=1'b0;
      s_axis_data_tvalid=1'b0;
      s_axis_data_tlast=1'b0;
      real_data=16'd0;
      imag_data=16'd0;
      cnt = 0;
      m_axis_data_tready=1'b1;
      #1000;
      s_axis_config_tdata=8'b0000_0001;
      s_axis_config_tvalid=1'b1;
      #10;
      s_axis_config_tdata=8'b0000_0000;
      s_axis_config_tvalid=1'b0;
      #1000;
      repeat(8)begin
        s_axis_data_tvalid=1'b1;
        real_data=real_data+16'd1;
        cnt=cnt+1;
        if(cnt==8) s_axis_data_tlast=1'b1;
        #10;
      end
      s_axis_data_tvalid=1'b0;
      s_axis_data_tlast=1'b0;
      real_data=16'd0;
      #1000;
      $stop;
    end
    always #(5) aclk= ~aclk;
fft_top Ufft_top(
      .aclk(aclk),                                                // input wire aclk
      .s_axis_config_tdata(s_axis_config_tdata),                  // input wire [7 : 0] s_axis_config_tdata
      .s_axis_config_tvalid(s_axis_config_tvalid),                // input wire s_axis_config_tvalid
      .s_axis_config_tready(s_axis_config_tready),                // output wire s_axis_config_tready
      .s_axis_data_tdata(s_axis_data_tdata),                      // input wire [31 : 0] s_axis_data_tdata
      .s_axis_data_tvalid(s_axis_data_tvalid),                    // input wire s_axis_data_tvalid
      .s_axis_data_tready(s_axis_data_tready),                    // output wire s_axis_data_tready
      .s_axis_data_tlast(s_axis_data_tlast),                      // input wire s_axis_data_tlast
      .m_axis_data_tdata(m_axis_data_tdata),                      // output wire [31 : 0] m_axis_data_tdata
      .m_axis_data_tvalid(m_axis_data_tvalid),                    // output wire m_axis_data_tvalid
      .m_axis_data_tready(m_axis_data_tready),                    // input wire m_axis_data_tready
      .m_axis_data_tlast(m_axis_data_tlast)                      // output wire m_axis_data_tlast       
          );
endmodule

3)仿真結(jié)果

Vivado最終的仿真結(jié)果為

Real=[36,-4,-4,-4,-4,-4,-4,-4];

Imag=[0,-10,-4,-2,0,1,4,9];

與matlab的計(jì)算結(jié)果相比實(shí)部一樣,除虛部因?yàn)閿?shù)據(jù)位的取舍問題以外,正數(shù)和負(fù)數(shù)部分順序相反。

編輯:hfy

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • Xilinx
    +關(guān)注

    關(guān)注

    71

    文章

    2152

    瀏覽量

    120721
  • 仿真
    +關(guān)注

    關(guān)注

    50

    文章

    4003

    瀏覽量

    133243
  • 快速傅立葉變換
    +關(guān)注

    關(guān)注

    0

    文章

    3

    瀏覽量

    1445
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    EasyGo實(shí)時(shí)仿真丨PCS儲(chǔ)能變流器控制仿真應(yīng)用

    EasyGo 半實(shí)物仿真平臺(tái)代替實(shí)物設(shè)備進(jìn)行測(cè)試的可行性與精確性。 實(shí)驗(yàn)基于EasyGo CBox快速原型控制器的CPU+FPGA硬件架構(gòu),我們?cè)贑Box的CPU中部署控制算法和設(shè)置U
    發(fā)表于 09-20 10:17

    EasyGo實(shí)時(shí)仿真丨三相永磁同步電機(jī)開環(huán)實(shí)驗(yàn)仿真應(yīng)用

    實(shí)驗(yàn)測(cè)試進(jìn)行對(duì)比,以驗(yàn)證利用EasyGo 半實(shí)物仿真平臺(tái)代替實(shí)物電機(jī)進(jìn)行測(cè)試的可行性與精確性。 實(shí)驗(yàn)基于EasyGo CBox
    發(fā)表于 08-23 09:58

    EasyGo實(shí)時(shí)仿真丨三相永磁同步電機(jī)開環(huán)實(shí)驗(yàn)仿真應(yīng)用

    “CBox體積小巧,功能強(qiáng)大。CPU+FPGA的雙模塊建模方式使得仿真結(jié)構(gòu)更加清晰,配合EasyGoDeskSim圖形化上位機(jī)軟件,仿真測(cè)試輕松上手?!薄袊?guó)石油大學(xué)(華東)某實(shí)驗(yàn)
    的頭像 發(fā)表于 08-22 18:20 ?1008次閱讀
    EasyGo實(shí)時(shí)<b class='flag-5'>仿真</b>丨三相永磁同步電機(jī)開環(huán)<b class='flag-5'>實(shí)驗(yàn)</b><b class='flag-5'>仿真</b>應(yīng)用

    如何在ModelSim中添加Xilinx仿真

    今天給大俠帶來在FPGA設(shè)計(jì)應(yīng)用中如何在ModelSim中添加Xilinx仿真庫,話不多說,上貨。 注意:ModelSim一定要安裝在不帶空格的目錄下,即不要安裝在“Program
    發(fā)表于 07-03 18:16

    經(jīng)緯恒潤(rùn)推出面向教育行業(yè)的仿真測(cè)試實(shí)驗(yàn)

    的眾多挑戰(zhàn)。經(jīng)緯恒潤(rùn)將最新的硬件在環(huán)(HIL)仿真測(cè)試技術(shù)與產(chǎn)學(xué)研合作緊密結(jié)合,通過仿真實(shí)驗(yàn)室的建設(shè)為高校教學(xué)以及科研需求提供強(qiáng)大的技術(shù)支持和實(shí)踐平臺(tái)。圖1:開發(fā)周
    的頭像 發(fā)表于 06-26 08:00 ?345次閱讀
    經(jīng)緯恒潤(rùn)推出面向教育行業(yè)的<b class='flag-5'>仿真</b><b class='flag-5'>測(cè)試</b><b class='flag-5'>實(shí)驗(yàn)</b>室

    接口測(cè)試測(cè)試什么內(nèi)容

    接口測(cè)試是軟件測(cè)試的一個(gè)重要組成部分,主要用于驗(yàn)證系統(tǒng)組件之間的交互是否符合預(yù)期。接口測(cè)試可以確保各個(gè)模塊之間的數(shù)據(jù)傳輸、控制流和錯(cuò)誤處理等
    的頭像 發(fā)表于 05-30 15:11 ?1058次閱讀

    接口測(cè)試的工具有哪些種類

    接口測(cè)試是軟件測(cè)試的一個(gè)重要環(huán)節(jié),主要用于驗(yàn)證系統(tǒng)各模塊之間的交互是否符合預(yù)期。隨著軟件行業(yè)的快速發(fā)展,接口
    的頭像 發(fā)表于 05-30 15:07 ?536次閱讀

    仿真測(cè)試與軟件測(cè)試的區(qū)別

    在當(dāng)今軟件開發(fā)和驗(yàn)證的領(lǐng)域中,測(cè)試是保證軟件質(zhì)量的關(guān)鍵環(huán)節(jié)。而在測(cè)試的眾多方法中,仿真測(cè)試和軟件測(cè)試是兩種常見且重要的手段。雖然它們都是為了
    的頭像 發(fā)表于 05-17 14:33 ?673次閱讀

    仿真測(cè)試包含哪些內(nèi)容

    在當(dāng)今科技快速發(fā)展的時(shí)代,仿真測(cè)試作為一種重要的技術(shù)手段,被廣泛應(yīng)用于各個(gè)領(lǐng)域。無論是航空航天、汽車制造、醫(yī)學(xué)仿真,還是建筑設(shè)計(jì)、國(guó)防軍事以及城市交通等,
    的頭像 發(fā)表于 05-17 14:32 ?766次閱讀

    如何使用SBench 6對(duì)數(shù)字化儀采集信號(hào)進(jìn)行處理?(三)——快速傅立葉變換(FFT)

    上一篇文章介紹了德思特SBench 6的平均運(yùn)算功能。本章將繼續(xù)為大家介紹SBench 6的快速傅立葉變換(FFT)。
    的頭像 發(fā)表于 01-23 10:38 ?505次閱讀
    如何使用SBench 6對(duì)數(shù)字化儀采集信號(hào)進(jìn)行處理?(三)——<b class='flag-5'>快速</b><b class='flag-5'>傅立葉</b><b class='flag-5'>變換</b>(FFT)

    如何使用信號(hào)發(fā)生器測(cè)試新材料的微波信號(hào)傳輸損耗?

    如何使用信號(hào)發(fā)生器測(cè)試新材料的微波信號(hào)傳輸損耗? 使用信號(hào)發(fā)生器測(cè)試新材料的微波信號(hào)傳輸損耗是一個(gè)復(fù)雜而精確的過程,它需要仔細(xì)的實(shí)驗(yàn)設(shè)計(jì)和準(zhǔn)確的測(cè)量方法。本文將詳細(xì)介紹如何使用信號(hào)發(fā)生器進(jìn)行這類
    的頭像 發(fā)表于 12-21 15:03 ?366次閱讀

    采用AI引擎的超采樣率數(shù)字傅立葉變換設(shè)計(jì)應(yīng)用介紹

    電子發(fā)燒友網(wǎng)站提供《采用AI引擎的超采樣率數(shù)字傅立葉變換設(shè)計(jì)應(yīng)用介紹.pdf》資料免費(fèi)下載
    發(fā)表于 12-14 16:25 ?9次下載
    采用AI引擎的超采樣率數(shù)字<b class='flag-5'>傅立葉</b><b class='flag-5'>變換</b>設(shè)計(jì)應(yīng)用介紹

    基于Dynamips GUI的網(wǎng)絡(luò)服務(wù)質(zhì)量實(shí)驗(yàn)設(shè)計(jì)

    電子發(fā)燒友網(wǎng)站提供《基于Dynamips GUI的網(wǎng)絡(luò)服務(wù)質(zhì)量實(shí)驗(yàn)設(shè)計(jì).pdf》資料免費(fèi)下載
    發(fā)表于 11-08 14:56 ?0次下載
    基于Dynamips GUI的網(wǎng)絡(luò)服務(wù)質(zhì)量<b class='flag-5'>實(shí)驗(yàn)設(shè)計(jì)</b>

    基于快速傅里葉變換快速算法

    電子發(fā)燒友網(wǎng)站提供《基于快速傅里葉變換快速算法.pdf》資料免費(fèi)下載
    發(fā)表于 11-06 10:25 ?1次下載
    基于<b class='flag-5'>快速</b>傅里葉<b class='flag-5'>變換</b>的<b class='flag-5'>快速</b>算法

    車輛LIN總線仿真測(cè)試服務(wù)

    全文導(dǎo)讀:虹科提供的LIN總線仿真測(cè)試服務(wù)涵蓋零部件功能測(cè)試服務(wù)、自動(dòng)化測(cè)試服務(wù)、快速檢測(cè)服務(wù)、咨詢服務(wù),包括方向盤類
    的頭像 發(fā)表于 10-30 10:38 ?731次閱讀
    車輛LIN總線<b class='flag-5'>仿真</b><b class='flag-5'>測(cè)試</b>服務(wù)