0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

淺談Vivado 綜合選項的7種設置

電子設計 ? 來源:CSDN博主 ? 作者:言人善友 ? 2020-11-25 10:28 ? 次閱讀

-flatten_hierarchy

full: 綜合時將原始設計打平,只保留頂層層次,執(zhí)行邊界優(yōu)化
none: 綜合時完全保留原始設計層次,不執(zhí)行邊界優(yōu)化
rebuilt: 綜合時將原始設計打平,執(zhí)行邊界優(yōu)化,綜合后將網表文件按照原始層次顯示,故與原始層次相似。

當-flatten_hierarchy為none時消耗的寄存器最多,建議其設定為默認值rebuilt。

-fsm_extraction

用于設定狀態(tài)機的編碼方式,默認值為auto。
-fsm_encoding
功能同上,優(yōu)先級高于-fsm_extraction,但如果代碼本身已經定義了編碼方式,該設定將無效。
one-hot:任意狀態(tài)只有一個比特位置一。

-keep_equivalent_registers

equivalent registers,等效寄存器,即共享輸入數據的寄存器。
勾選時,等效寄存器不合并;
不勾選時,等效寄存器合并。
等效寄存器可以有效的降低扇出,可以通過綜合屬性keep避免其被合并。

-resource_sharing

其目的是對算術運算通過資源共享優(yōu)化設計資源
auto
on
off

-control_set_opt_threshold

觸發(fā)器的控制集由時鐘信號、復位/置位信號和使能信號構成,通常只有{clk,set/rst,ce}均相同的觸發(fā)器才可以被放置在一個SLICE中。
control_set_opt_threshold的值為控制信號(不包括時鐘和數據)的扇出個數,表明對小于此值的同步信號進行優(yōu)化,顯然此值越大,被優(yōu)化的觸發(fā)器越多,但占用的查找表也越多。
control_set_opt_threshold的值為0,不進行優(yōu)化。
auto:默認值。

-no_lc

對于一個x輸入布爾表達式和一個y輸入的布爾表達式,只要滿足x + y ≤5(相同變量只算一次),這兩個布爾表達式就可以放置在一個LUT6中實現。
當-no_lc被勾選時,則不允許出現LUT整合。
通過LUT整合可以降低LUT的資源消耗率,但也可能導致布線擁塞。因此,xilinx建議,當整合的LUT超過了LUT總量的15%時,應考慮勾選-no_lc,關掉LUT整合。

-shreg_min_size

shreg_min_size決定了當VHDL代碼描述的移位寄存器深度大于此設定值時,將采用“觸發(fā)器+SRL+觸發(fā)器”的方式實現。

編輯:hfy


聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯系本站處理。 舉報投訴
  • 寄存器
    +關注

    關注

    31

    文章

    5271

    瀏覽量

    119647
  • vhdl
    +關注

    關注

    30

    文章

    816

    瀏覽量

    128018
  • D觸發(fā)器
    +關注

    關注

    3

    文章

    164

    瀏覽量

    47793
  • Vivado
    +關注

    關注

    19

    文章

    799

    瀏覽量

    66131
收藏 人收藏

    評論

    相關推薦

    洛杉磯共享主機怎么設置

     在洛杉磯設置共享主機涉及到多個步驟和配置選項,主要圍繞Windows的“共享電腦”功能。以下是詳細的設置步驟和注意事項。
    的頭像 發(fā)表于 10-22 09:52 ?44次閱讀

    IP的綜合選項是什么意思

    我們在生成IP的時候,vivado會出現如上圖的界面。這個界面中有三個綜合選項,這三個選項分別是什么意思呢?我們來查看一下對應的中文文檔ug896。另外,網絡上現有的文檔版本似乎并沒有
    的頭像 發(fā)表于 10-21 14:00 ?47次閱讀
    IP的<b class='flag-5'>綜合</b><b class='flag-5'>選項</b>是什么意思

    淺談Vivado編譯時間

    隨著FPGA規(guī)模的增大,設計復雜度的增加,Vivado編譯時間成為一個不可回避的話題。尤其是一些基于SSI芯片的設計,如VU9P/VU13P/VU19P等,布局布線時間更是顯著增加。當然,對于一些設計而言,十幾個小時是合理的。但我們依然試圖分析設計存在的問題以期縮短編譯時間。
    的頭像 發(fā)表于 09-18 10:43 ?322次閱讀
    <b class='flag-5'>淺談</b><b class='flag-5'>Vivado</b>編譯時間

    Vivado 2024.1版本的新特性(2)

    綜合角度看,Vivado 2024.1對SystemVerilog和VHDL-2019的一些特性開始支持。先看SystemVerilog。
    的頭像 發(fā)表于 09-18 10:34 ?412次閱讀
    <b class='flag-5'>Vivado</b> 2024.1版本的新特性(2)

    【GD32 MCU 入門教程】六、GD32 MCU 選項字節(jié)設置及解除方法

    正常呢?這一章節(jié)我們針對各系列的選項字節(jié)設置和解除做一個相關說明,后續(xù)遇到上述問題可以考慮一下是否是選項字節(jié)配置錯誤導致。
    的頭像 發(fā)表于 08-26 09:43 ?365次閱讀
    【GD32 MCU 入門教程】六、GD32 MCU <b class='flag-5'>選項</b>字節(jié)<b class='flag-5'>設置</b>及解除方法

    詳解Vivado非工程模式的精細設計過程

    設置設計的輸出路徑,設置設計輸出路徑的步驟如下所示。 第一步:如圖4.3所示,在“Vivado%”提示符后輸入命令“set outputDir ./gate_Created_Data/top_output”。
    發(fā)表于 04-03 09:34 ?1185次閱讀
    詳解<b class='flag-5'>Vivado</b>非工程模式的精細設計過程

    淺談城市綜合管廊基于物聯網融合通信系統(tǒng)應用分析

    淺談城市綜合管廊基于物聯網融合通信系統(tǒng)應用分析 張穎姣 安科瑞電氣股份有限公司 上海嘉定 201801 摘要:綜合管廊作為城市地下管線的綜合載體,對城市發(fā)展有著重要促進意義,結合現代物
    的頭像 發(fā)表于 02-27 15:18 ?446次閱讀
    <b class='flag-5'>淺談</b>城市<b class='flag-5'>綜合</b>管廊基于物聯網融合通信系統(tǒng)應用分析

    淺談綜合管廊監(jiān)控及安防技術

    淺談綜合管廊監(jiān)控及安防技術 張穎姣 安科瑞電氣股份有限公司 上海嘉定 201801 摘要:在社會經濟快速發(fā)展的環(huán)境下,我國越來越重視城市綜合管廊工程,加大工程建設力度,以優(yōu)化城市發(fā)展環(huán)境,激發(fā)
    的頭像 發(fā)表于 02-27 15:07 ?322次閱讀
    <b class='flag-5'>淺談</b><b class='flag-5'>綜合</b>管廊監(jiān)控及安防技術

    淺談智能照明控制系統(tǒng)在綜合管廊中的設計應用與研究

    項目中推廣使用,其良好的控制、節(jié)能特性十分適用于城市綜合管廊照明系統(tǒng)。 一、城市綜合管廊照明特點 城市綜合管廊作為一現代化、集約化的城市基礎設施,將兩
    發(fā)表于 02-27 14:52

    淺談商業(yè)綜合建筑能耗分析及節(jié)能發(fā)展情況

    淺談商業(yè)綜合建筑能耗分析及節(jié)能發(fā)展情況 張穎姣 安科瑞電氣股份有限公司 上海嘉定 201801 摘要:商業(yè)的發(fā)展促進了人類的交流與進步,從早期的集市到后來的市場再到現在的購物商城,商業(yè)綜合體,可以說
    的頭像 發(fā)表于 02-20 15:30 ?5541次閱讀
    <b class='flag-5'>淺談</b>商業(yè)<b class='flag-5'>綜合</b>建筑能耗分析及節(jié)能發(fā)展情況

    如何禁止vivado自動生成 bufg

    操作: 打開Vivado工程,并進入項目導航器窗口。 選擇下方的"IP"選項卡,展開"Clocking"選項。在這
    的頭像 發(fā)表于 01-05 14:31 ?1713次閱讀

    FPGA實現基于Vivado的BRAM IP核的使用

    文章是基于Vivado的 2017.1的版本,其他版本都大同小異。 首先在Vivado界面的右側選擇IP Catalog 選項
    的頭像 發(fā)表于 12-05 15:05 ?1380次閱讀

    centos7如何設置靜態(tài)IP

    在CentOS 7設置靜態(tài)IP是一個簡單而重要的任務,尤其對于服務器管理員來說。在本文中,我們將詳細介紹如何在CentOS 7設置靜態(tài)IP。 CentOS是一
    的頭像 發(fā)表于 11-17 11:06 ?1362次閱讀

    使用Vivado高層次綜合(HLS)進行FPGA設計的簡介

    電子發(fā)燒友網站提供《使用Vivado高層次綜合(HLS)進行FPGA設計的簡介.pdf》資料免費下載
    發(fā)表于 11-16 09:33 ?0次下載
    使用<b class='flag-5'>Vivado</b>高層次<b class='flag-5'>綜合</b>(HLS)進行FPGA設計的簡介

    淺談綜合布線系統(tǒng)中如何避免感應雷的襲擊

    電子發(fā)燒友網站提供《淺談綜合布線系統(tǒng)中如何避免感應雷的襲擊.doc》資料免費下載
    發(fā)表于 11-10 16:09 ?0次下載
    <b class='flag-5'>淺談</b>在<b class='flag-5'>綜合</b>布線系統(tǒng)中如何避免感應雷的襲擊