0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

基于直方圖算法進(jìn)行FPGA架構(gòu)設(shè)計

454398 ? 來源:AI加速微信公眾號 ? 作者:AI加速微信公眾號 ? 2020-12-10 16:37 ? 次閱讀

引言

直方圖統(tǒng)計在圖像增強(qiáng)和目標(biāo)檢測領(lǐng)域有重要應(yīng)用,比如直方圖均衡,梯度直方圖。直方圖的不同種類和統(tǒng)計方法請見之前的文章。本章就是用FPGA來進(jìn)行直方圖的計算,并且利用FPGA的特性對計算過程進(jìn)行加速。安排如下:

首先基于直方圖算法進(jìn)行FPGA架構(gòu)設(shè)計,這里主要考慮了如何加速以及FPGA資源的利用兩個因素;最后基于system Verilog搭建一個驗證系統(tǒng)。

FPGA設(shè)計架構(gòu)

不論是圖像灰度直方圖還是梯度直方圖,本質(zhì)上是對數(shù)據(jù)的分布進(jìn)行計數(shù)。從FPGA角度來看,只關(guān)心以下幾點:

1) 根據(jù)數(shù)據(jù)大小確定其分布區(qū)間,統(tǒng)計分布在不同區(qū)間的數(shù)據(jù)個數(shù),區(qū)間的大小可以調(diào)節(jié),比如灰度直方圖區(qū)間為1,梯度直方圖通常大于1;

2) 如何利用FPGA對直方圖統(tǒng)計進(jìn)行加速,以及如何考慮到芯片有限資源;

首先來考慮加速方式,直方圖統(tǒng)計過程用偽代碼表示為:

For(int i=0;i Index = get_index(data[i]);
Hist[index]++;
}

Get_index函數(shù)是為了確定數(shù)據(jù)屬于哪個區(qū)間,如果區(qū)間大小為1,那么index就是數(shù)據(jù)自身。如果區(qū)間是平均分布,那么就需要進(jìn)行數(shù)據(jù)的大小比較。如果區(qū)間大小是2的冪次,那么index只需要數(shù)據(jù)進(jìn)行移位得到。

FPGA在加速計算中最主要就是利用并行化和流水線,并行化就是將一個任務(wù)拆解成多個子任務(wù),多個子任務(wù)并行完成。而流水線是在處理一個子任務(wù)的時候,下一個來的子任務(wù)也可以進(jìn)行處理,處理模塊不會等待。流水線本質(zhì)上是對子任務(wù)也進(jìn)行“分割”,分割的每一塊可以在處理模塊中同時進(jìn)行。

統(tǒng)計N個數(shù)據(jù),可以將N分成M份,在FPGA上同時進(jìn)行M個統(tǒng)計,用偽代碼表示為:

For(int k=0;k //并行化
For(int i=0;i Index = get_index(data[k][i]);
Hist[k][index]++;
}
}

如果區(qū)間不是2的冪次,就需要比較器,這樣并行M次,就需要M個同等比較器,這對資源消耗很大。因此目前設(shè)計僅僅支持2的冪次的區(qū)間。整個設(shè)計架構(gòu)如圖1.2。

圖2.1 流水線處理

圖2.2 直方圖統(tǒng)計架構(gòu)

主要分為以下幾個模塊:

1)statis:這個是核心計算模塊,統(tǒng)計數(shù)據(jù)分布。ram中存放直方圖統(tǒng)計數(shù)據(jù),地址對應(yīng)著數(shù)據(jù)分布區(qū)間。這里有一個問題需要考慮,在對ram中直方圖統(tǒng)計數(shù)據(jù)計數(shù)時,需要讀出然后計數(shù)。如果ram讀端口沒有寄存器,那么讀出來直接加1,再寫入。但是這樣并不好,因為ram不經(jīng)過寄存器時序不好。所以增加了一級寄存器,這樣就造成了寫入的延時,那么有可能下一次數(shù)據(jù)來臨也會讀取同樣地址的數(shù)據(jù),此時讀取到的直方圖數(shù)據(jù)就是還沒有寫入的。為了解決這個問題,判斷進(jìn)入的前后兩個數(shù)據(jù)是否相同,如果相同就不寫入而繼續(xù)計數(shù),如果不同就寫入。并行多個statis模塊的代碼為:

genvar i;
generate
for(i=0;i

statis #(
.PIX_BW(PIX_BW),
.HIST_BW(HIST_BW),
.ADDR_BW(HIST_LEN_BW),
.BIN_W(BIN_W)

)u_statis(
.clk(clk),
.rst(rst),
.clr(clr),

.enable(1'b1),
.pix_valid(pix_valid),
.pix(img_i[i*PIX_BW +: PIX_BW]),

.hist_rd(branch_hist_rd),
.hist_raddr(branch_hist_raddr),
.hist(branch_hist[i*HIST_BW +: HIST_BW])
);

end
endgenerate

2)serders:這個是并轉(zhuǎn)串。M個statis模塊會產(chǎn)生M組hist結(jié)果,這些結(jié)果還要進(jìn)行求和,那么就要用到加法樹,如果M較大,會造成加法樹很大,多以這里加了serders可以調(diào)節(jié)加法樹資源。

3) addTree:加法樹。
module addTree #(
parameter DATA_BW = 32,//bit width of data
parameter TREE_DEPTH = 3,//depth of the add tree
parameter ADD_N = 4//add number
)
(
input clk,
input rst,
input [ADD_N*DATA_BW-1:0] adnd_x,
input [ADD_N*DATA_BW-1:0] adnd_y,
input adnd_valid,
output reg[DATA_BW-1:0] finl_sum,
output reg finl_sum_valid

);

reg [TREE_DEPTH-1:0]midl_valid;

genvar dept_i, leaf_i;
generate
for(dept_i=TREE_DEPTH-1;dept_i>=0;dept_i=dept_i-1)begin: ADD_DPET
localparam LEAF_N = 2**dept_i;

wire[DATA_BW-1:0] midl_sum[LEAF_N-1:0];

for(leaf_i=0;leaf_i

reg [DATA_BW-1:0] midl_add_x;
reg [DATA_BW-1:0] midl_add_y;

if(dept_i==TREE_DEPTH-1)begin
always @(posedge clk)begin
midl_add_x midl_add_y end
end
else begin
always @(posedge clk)begin
midl_add_x midl_add_y end
end

adder #(
.DATA_BW(DATA_BW)
)
u_adder(
.adnd_x(midl_add_x),
.adnd_y(midl_add_y),
.sum(midl_sum[leaf_i])

);

end

if(dept_i==TREE_DEPTH-1)
always @(posedge clk)begin
midl_valid[dept_i] end
else
always @(posedge clk)begin
midl_valid[dept_i] end

end

endgenerate

always @(posedge clk)begin
finl_sum end

always @(posedge clk)begin
if(rst)
finl_sum_valid else
finl_sum_valid end

endmodule

4) accum:累加器。如果加法樹沒有完成M個hist數(shù)據(jù)的求和,那么就需要通過累加器來完成。

o4YBAF9uDqWAayv7AAByjAeQGbU256.png

圖2.3 對ram的處理

驗證結(jié)構(gòu)

1) img_trans:這個是隨機(jī)化圖像數(shù)據(jù)定義,主要通過SV中constraint來對圖像大小做一些約束;

class img_trans;

rand int img_w;
rand int img_h;
rand int img_blank;
rand logic[`PIX_BW-1:0] img[`MAX_IMG_W*`MAX_IMG_H];

constraint img_cfg_cnst{
img_w img_w > 0;
img_w % `PARALL == 0;
img_h img_h > 0;
img_blank img_blank >= 0;

}

extern function void write(input string f_name);

endclass

2) driver:產(chǎn)生image并且發(fā)送給DUT,同時通過mailbox發(fā)送給ref_model用于對比;
class img_obj;
logic [`PIX_BW-1:0] img_que[$];
endclass

class driver;

int img_w;
int img_h;
int img_blank;
logic [`PARALL*`PIX_BW-1:0] img;
logic [`PIX_BW-1:0] img_ele;
img_obj imgObj;
img_trans imgTrans;

extern task drive(mailbox img_mbx, virtual img_inf.test imgInf);

endclass

3) ref_model:自己統(tǒng)計直方圖和DUT的結(jié)果進(jìn)行比對;

class ref_modl;

logic [`PIX_BW-1:0] img;
int addr;
img_obj imgObj;
int hist[`HIST_LEN];

extern task calc(input logic clk, mailbox img_mbx);
extern task comp(virtual img_inf.test imgInf);
extern task run(input logic clk, mailbox img_mbx, virtual img_inf.test imgInf);
extern function void clear();

endclass

o4YBAF9uDqeAUrozAAEPRvgT6Rs060.png

圖3.1 驗證架構(gòu)圖

最后添加一下modelsim仿真波形文件和結(jié)果,純粹為了增加篇幅。

圖3.2 modelsim仿真波形和結(jié)果

編輯:hfy

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21538

    瀏覽量

    600475
  • 直方圖
    +關(guān)注

    關(guān)注

    0

    文章

    19

    瀏覽量

    7860
收藏 人收藏

    評論

    相關(guān)推薦

    FPGA設(shè)計經(jīng)驗之圖像處理

    處理窗口 對資源影響成倍增加 基于FPGA設(shè)計框架舉例: 1、灰度直方圖統(tǒng)計 直方圖是圖像的灰度分布統(tǒng)計的一種表示方法,統(tǒng)計目標(biāo)圖像中各個灰度點的像素個數(shù),很多對于圖像的調(diào)整算法
    發(fā)表于 06-12 16:26

    FPGA設(shè)計中,對SPI進(jìn)行參數(shù)化結(jié)構(gòu)設(shè)

    今天給大俠帶來FPGA設(shè)計中,對SPI進(jìn)行參數(shù)化結(jié)構(gòu)設(shè)計,話不多說,上貨。 為了避免每次SPI驅(qū)動重寫,直接參數(shù)化,盡量一勞永逸。SPI master有啥用呢,你發(fā)現(xiàn)各種外圍芯片的配置一般
    發(fā)表于 05-07 16:09

    FPGA設(shè)計中,對SPI進(jìn)行參數(shù)化結(jié)構(gòu)設(shè)

    今天給大俠帶來FPGA設(shè)計中,對SPI進(jìn)行參數(shù)化結(jié)構(gòu)設(shè)計,話不多說,上貨。 為了避免每次SPI驅(qū)動重寫,直接參數(shù)化,盡量一勞永逸。SPI master有啥用呢,你發(fā)現(xiàn)各種外圍芯片的配置一般
    發(fā)表于 04-11 18:29

    交換芯片架構(gòu)設(shè)

    交換芯片的架構(gòu)設(shè)計是網(wǎng)絡(luò)設(shè)備性能和功能的關(guān)鍵。一個高效的交換芯片架構(gòu)能夠處理大量的數(shù)據(jù)流量,支持高速數(shù)據(jù)傳輸,并提供先進(jìn)的網(wǎng)絡(luò)功能。
    的頭像 發(fā)表于 03-21 16:28 ?449次閱讀

    交換芯片架構(gòu)設(shè)

    交換芯片架構(gòu)設(shè)計是網(wǎng)絡(luò)通信中的關(guān)鍵環(huán)節(jié),它決定了交換機(jī)的性能、功能和擴(kuò)展性。
    的頭像 發(fā)表于 03-18 14:12 ?515次閱讀

    fpga是什么架構(gòu)

    FPGA(現(xiàn)場可編程門陣列)的架構(gòu)主要由可配置邏輯模塊(CLB)、輸入/輸出模塊(IOB)以及可編程互連資源組成。
    的頭像 發(fā)表于 03-14 17:05 ?712次閱讀

    華為企業(yè)架構(gòu)設(shè)計方法及實例

    企業(yè)架構(gòu)是一項非常復(fù)雜的系統(tǒng)性工程。公司在充分繼承原有架構(gòu)方法基礎(chǔ)上,博采眾家之長,融合基于職能的業(yè)務(wù)能力分析與基于價值的端到端流程分析,將”傳統(tǒng)架構(gòu)設(shè)計(TOGAF)”與“領(lǐng)域驅(qū)動(DDD)”方法相結(jié)合。
    發(fā)表于 01-30 09:40 ?787次閱讀
    華為企業(yè)<b class='flag-5'>架構(gòu)設(shè)</b>計方法及實例

    怎么用FPGA算法 如何在FPGA上實現(xiàn)最大公約數(shù)算法

    FPGA算法的優(yōu)點在于它們可以提供高度的定制化和靈活性,使得算法可以根據(jù)實際需求進(jìn)行優(yōu)化和調(diào)整。此外,FPGA還可以實現(xiàn)硬件加速,提供比傳統(tǒng)
    的頭像 發(fā)表于 01-15 16:03 ?1756次閱讀

    一文帶你了解FPGA直方圖操作

    度較低 對比度很高的圖像## FPGA功能分析 對于FPGA進(jìn)行直方圖操作的時候有兩種方式,一種是真操作,一種是偽操作:真操作就是將圖像緩存后進(jìn)行
    發(fā)表于 01-10 15:07

    FPGA圖像處理-CLAHE算法介紹(一)

    在介紹CLAHE算法之前必須要先提一下直方圖均衡化,直方圖均衡化算法是一種常見的圖像增強(qiáng)算法,可以讓像素的亮度分配的更加均勻從而獲得一個比較
    的頭像 發(fā)表于 01-02 13:32 ?1592次閱讀
    <b class='flag-5'>FPGA</b>圖像處理-CLAHE<b class='flag-5'>算法</b>介紹(一)

    為什么不能直接對RGB圖做直方圖均衡化

    相信好多人在開始學(xué)習(xí)FPGA圖像處理的時候都是接觸的RGB轉(zhuǎn)灰度圖,Sobel圖像檢測,直方圖均衡化這樣的算法。
    的頭像 發(fā)表于 01-02 09:41 ?1017次閱讀
    為什么不能直接對RGB圖做<b class='flag-5'>直方圖</b>均衡化

    揭秘GPU: 高端GPU架構(gòu)設(shè)計的挑戰(zhàn)

    在計算領(lǐng)域,GPU(圖形處理單元)一直是性能飛躍的代表。眾所周知,高端GPU的設(shè)計充滿了挑戰(zhàn)。GPU的架構(gòu)創(chuàng)新,為軟件承接大模型訓(xùn)練和推理場景的人工智能計算提供了持續(xù)提升的硬件基礎(chǔ)。GPU架構(gòu)設(shè)
    的頭像 發(fā)表于 12-21 08:28 ?801次閱讀
    揭秘GPU: 高端GPU<b class='flag-5'>架構(gòu)設(shè)</b>計的挑戰(zhàn)

    fpga布局布線算法加速

    任務(wù)是將邏輯元件與連接線路進(jìn)行合理的布局和布線,以實現(xiàn)性能優(yōu)化和電路連接的可靠性。然而,FPGA布局布線的過程通常是一項繁瑣且耗時的任務(wù),因此加速布局布線算法的研究具有重要意義。本文將詳盡探討
    的頭像 發(fā)表于 12-20 09:55 ?676次閱讀

    FPGA直方圖處理方法

    圖像直方圖用作數(shù)字圖像中色調(diào)分布的圖形表示。它繪制了每個色調(diào)值的像素數(shù)。通過查看特定圖像的直方圖,觀看者將能夠一目了然地判斷整個色調(diào)分布。
    的頭像 發(fā)表于 12-15 09:20 ?996次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>直方圖</b>處理方法

    汽車電子電氣架構(gòu)設(shè)計仿真解決方案

    本文將介紹面向服務(wù)(SOA)的汽車TSN網(wǎng)絡(luò)架構(gòu),并探討RTaW-Pegase仿真與設(shè)計軟件在TSN網(wǎng)絡(luò)設(shè)計中的應(yīng)用。通過RTaW將設(shè)計問題分解,我們可以更好地理解汽車電子電氣架構(gòu)設(shè)計的過程。
    的頭像 發(fā)表于 11-13 15:08 ?1165次閱讀
    汽車電子電氣<b class='flag-5'>架構(gòu)設(shè)</b>計仿真解決方案