0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

基于Digilent的Arty Artix-35T FPGA開發(fā)板的DDR3讀寫控制

電子設(shè)計(jì) ? 來(lái)源:FPGA開源工作室 ? 作者:FPGA開源工作室 ? 2020-12-15 16:45 ? 次閱讀

將通過五篇文章來(lái)給大家講解xilinx FPGA 使用mig IP對(duì)DDR3的讀寫控制,旨在讓大家更快的學(xué)習(xí)和應(yīng)用DDR3。

本實(shí)驗(yàn)和工程基于Digilent的Arty Artix-35T FPGA開發(fā)板完成。

軟件使用Vivado 2018.1。

參考工程:ddr3_test。

第五篇:mig讀寫時(shí)序下板實(shí)現(xiàn)

1頂層文件和約束文件

ddr3_test.v

參見參考工程:ddr3_test。

ddr3.xdc

1. set_property PACKAGE_PIN E3 [get_ports clk]

2. set_property IOSTANDARD LVCMOS33 [get_ports clk]

3. set_property PACKAGE_PIN D9 [get_ports reset]

4. set_property PACKAGE_PIN E1 [get_ports init_calib_complete]

5. set_property IOSTANDARD LVCMOS33 [get_ports init_calib_complete]

6. set_property IOSTANDARD LVCMOS33 [get_ports reset]

2 下板實(shí)現(xiàn)讀寫時(shí)序

1>①完成綜合和實(shí)現(xiàn)

pIYBAF9uEieAIrp1AAViErRYsfQ950.png

2>下載bit文件和debug文件。

pIYBAF9uEi2AZQc7AAYUKHUxaAM100.png

3>下載完成,查看波形。


pIYBAF9uEjeACWcEAAT3eiBQ_C0230.png

4>將app_wdf_data數(shù)據(jù)格式改為Unsigned Decimal。

pIYBAF9uEj2AEF0vAAVALKHmVFA645.png

5>查看寫時(shí)序。

o4YBAF9uEkOAcmgvAAWiaV7G-80079.png


o4YBAF9uEkeAXgZ5AATOX99VEUc718.png

6>查看讀時(shí)序。

pIYBAF9uEkyALeHtAASqvJJhyBc481.png


o4YBAF9uElCAKKe0AATsbTmGwJU921.png

基于xilinx mig ip對(duì)ddr3讀寫驗(yàn)證完成。

編輯:hfy


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21539

    瀏覽量

    600479
  • DDR3
    +關(guān)注

    關(guān)注

    2

    文章

    274

    瀏覽量

    42129
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    基于FPGADDR3多端口讀寫存儲(chǔ)管理設(shè)計(jì)

    今天給大俠帶來(lái)《基于FPGADDR3多端口讀寫存儲(chǔ)管理設(shè)計(jì)》,話不多說,上貨。 摘要 為了解決視頻圖形顯示系統(tǒng)中多個(gè)端口訪問DDR3時(shí)出現(xiàn)的數(shù)據(jù)存儲(chǔ)沖突問題,設(shè)計(jì)了一種基于
    發(fā)表于 06-26 18:13

    國(guó)產(chǎn)FPGA核心!米爾紫光同創(chuàng)Logos-2和Xilinx Artix-7核心

    的應(yīng)用需求。 MYC-J2L100H核心 基于Xilinx Artix-7 XC7A100T核心基于Xilinx Artix-7? 2
    發(fā)表于 05-31 17:40

    FPGA核心 Xilinx Artix-7系列XC7A100T開發(fā)平臺(tái),米爾FPGA工業(yè)開發(fā)板

    MYC-J7A100T核心開發(fā)板Xilinx Artix-7系列XC7A100T開發(fā)平臺(tái),
    發(fā)表于 05-31 15:12 ?8次下載

    XC7K410T-FFG900外設(shè)之DDR3硬件設(shè)計(jì)方案分享

    在數(shù)據(jù)速率帶寬約束方面,DDR3運(yùn)行速度受限于其與K7-410T FPGA互聯(lián)的I/O Bank 管腳以及FPGA器件的速度等級(jí)。
    的頭像 發(fā)表于 04-12 10:03 ?2127次閱讀
    XC7K410<b class='flag-5'>T</b>-FFG900外設(shè)之<b class='flag-5'>DDR3</b>硬件設(shè)計(jì)方案分享

    精選推薦!紫光盤古系列FPGA開發(fā)板信息匯總

    紫光盤古系列:盤古50K開發(fā)板 盤古50K開發(fā)板(MES50HP)采用了核心+擴(kuò)展板的結(jié)構(gòu),核心與擴(kuò)展板之間使用高速對(duì)
    發(fā)表于 04-11 11:57

    全套DDR、DDR2、DDR3、DDR3L、LPDDR3DDR4 電源解決方案同步降壓控制器數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《全套DDR、DDR2、DDR3、DDR3L、LPDDR3DDR4 電源
    發(fā)表于 04-09 09:51 ?7次下載
    全套<b class='flag-5'>DDR</b>、<b class='flag-5'>DDR</b>2、<b class='flag-5'>DDR3</b>、<b class='flag-5'>DDR3</b>L、LPDDR<b class='flag-5'>3</b> 和 <b class='flag-5'>DDR</b>4 電源解決方案同步降壓<b class='flag-5'>控制</b>器數(shù)據(jù)表

    完整DDR,DDR2,DDR3 和LPDDR3 存儲(chǔ)器電源解決方案同步降壓控制器數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《完整DDR,DDR2,DDR3 和LPDDR3 存儲(chǔ)器電源解決方案同步降壓控制器數(shù)據(jù)表.pdf》資料免費(fèi)下載
    發(fā)表于 04-09 09:49 ?0次下載
    完整<b class='flag-5'>DDR</b>,<b class='flag-5'>DDR</b>2,<b class='flag-5'>DDR3</b> 和LPDDR<b class='flag-5'>3</b> 存儲(chǔ)器電源解決方案同步降壓<b class='flag-5'>控制</b>器數(shù)據(jù)表

    fpga開發(fā)板是什么?fpga開發(fā)板有哪些?

    FPGA開發(fā)板是一種基于FPGA(現(xiàn)場(chǎng)可編程門陣列)技術(shù)的開發(fā)平臺(tái),它允許工程師通過編程來(lái)定義和配置FPGA芯片上的邏輯電路,以實(shí)現(xiàn)各種數(shù)字
    的頭像 發(fā)表于 03-14 18:20 ?1658次閱讀

    fpga開發(fā)板使用教程

    FPGA開發(fā)板的使用教程主要包括以下幾個(gè)關(guān)鍵步驟。
    的頭像 發(fā)表于 03-14 15:50 ?888次閱讀

    完整的DDR2、DDR3DDR3L內(nèi)存電源解決方案同步降壓控制器TPS51216數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《完整的DDR2、DDR3DDR3L內(nèi)存電源解決方案同步降壓控制器TPS51216數(shù)據(jù)表.pdf》資料免費(fèi)下載
    發(fā)表于 03-13 13:58 ?0次下載
    完整的<b class='flag-5'>DDR</b>2、<b class='flag-5'>DDR3</b>和<b class='flag-5'>DDR3</b>L內(nèi)存電源解決方案同步降壓<b class='flag-5'>控制</b>器TPS51216數(shù)據(jù)表

    完整的DDR、DDR2和DDR3內(nèi)存電源解決方案同步降壓控制器數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《完整的DDR、DDR2和DDR3內(nèi)存電源解決方案同步降壓控制器數(shù)據(jù)表.pdf》資料免費(fèi)下載
    發(fā)表于 03-13 10:16 ?1次下載
    完整的<b class='flag-5'>DDR</b>、<b class='flag-5'>DDR</b>2和<b class='flag-5'>DDR3</b>內(nèi)存電源解決方案同步降壓<b class='flag-5'>控制</b>器數(shù)據(jù)表

    fpga開發(fā)板與linux開發(fā)板區(qū)別

    FPGA開發(fā)板與Linux開發(fā)板是兩種不同的硬件開發(fā)平臺(tái),各自具有不同的特點(diǎn)和應(yīng)用場(chǎng)景。在以下的文章中,我將詳細(xì)介紹FPGA
    的頭像 發(fā)表于 02-01 17:09 ?1903次閱讀

    DDR200T開發(fā)板FPGA模塊和gd32vf103之間是通過什么傳輸數(shù)據(jù)的?

    目前想要通過DDR200T開發(fā)板做一個(gè)基于FPGA的卷積神經(jīng)網(wǎng)絡(luò)軟硬協(xié)同加速器 DDR200T開發(fā)板F
    發(fā)表于 01-10 06:28

    AXI通道讀寫DDR的阻塞問題?

    基于vivado2020.1和zcu102開發(fā)板(rev1.1)開發(fā)項(xiàng)目,工程涉及DDR4(MIG)和PL端多個(gè)讀寫接口交互的問題,通過AXI interconnect進(jìn)行互聯(lián)和仲裁(
    的頭像 發(fā)表于 12-01 09:04 ?839次閱讀
    AXI通道<b class='flag-5'>讀寫</b><b class='flag-5'>DDR</b>的阻塞問題?

    DDR4和DDR3內(nèi)存都有哪些區(qū)別?

    DDR4和DDR3內(nèi)存都有哪些區(qū)別? 隨著計(jì)算機(jī)的日益發(fā)展,內(nèi)存也越來(lái)越重要。DDR3DDR4是兩種用于計(jì)算機(jī)內(nèi)存的標(biāo)準(zhǔn)。隨著DDR4內(nèi)存
    的頭像 發(fā)表于 10-30 09:22 ?1w次閱讀