0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Vivado FPGA實現(xiàn)濾波器設(shè)計解決方案

454398 ? 來源:csdn ? 作者:chinkwoyu ? 2020-12-28 12:57 ? 次閱讀

在Vivado FIR濾波器設(shè)計與仿真(一)中產(chǎn)生了兩路正弦信號,頻率分別為4MHz和5MHz,今天要進行FIR濾波器設(shè)計,在進行濾波器設(shè)計之前,需要對濾波器的參數(shù)進行設(shè)置,需要借助MATLAB軟件或者Filter Solutions軟件,這次使用Filter Solutions來進行參數(shù)設(shè)定。

關(guān)于Filter Solutions軟件的使用,這里有一篇博客比較詳細的介紹了它的用法,可以作為參考:
Filter Solutions之濾波器設(shè)計

濾波器參數(shù)
產(chǎn)生的兩路正弦信號分別為4MHz和5MHz,混頻之后產(chǎn)生的信號頻率為1MHz和9MHz,首先進行低通濾波設(shè)計,我們要把1MHz的信號濾除掉,F(xiàn)ilter Solutions軟件參數(shù)設(shè)置如圖所示:

o4YBAF9uIgaAQI3MAAGWucoyfVg016.png

參數(shù)設(shè)定之后生成頻響圖:

pIYBAF9uIgmADmxsAAGG2ouAccY043.png

查看相關(guān)參數(shù)并復(fù)制參數(shù):

o4YBAF9uIgyAZEJBAAH7ltrv1WM751.png


o4YBAF9uIg-AHVMqAAH2Fd2uYLE220.png

我們把復(fù)制的參數(shù)粘貼出來可以看到是下面的格式:

pIYBAF9uIhCAMOXOAAAbjm3no5g029.png

[ ]中的數(shù)組即為我們需要的參數(shù)。

FPGA實現(xiàn)濾波器設(shè)計
我們在之前的BD程序中,新建一個fir_compiler IP核

o4YBAF9uIhGAV1oaAAA_xyKWkj8366.png

雙擊打開進行相關(guān)參數(shù)設(shè)定:
我們把在Filter Solutions軟件中得到的參數(shù)復(fù)制到圖中紅色框中,在左側(cè)Freq.Response中就可以看到頻率響應(yīng)圖

o4YBAF9uIheAdw2jAAZpGgMGuDA234.png

設(shè)置好參數(shù)之后就可以進行連線操作了,我們將混頻之后的信號送給FIR濾波器,連接好時鐘和tvalid線

pIYBAF9uIhuAUd4KAAMN-DeeZsc248.png

選中FIR的M_AXIS_DATA引腳,右鍵make external連接管腳,也可以將兩路正弦信號連接出來進行觀察,連接好之后,valid design沒有錯誤就OK了

返回到source界面,右鍵菜單中選中g(shù)enerate output products,更新頂層文件。

o4YBAF9uIh2AAMY7AAEakKOu6Do019.png

更新之后就可以看到頂層文件中多了一路信號

Modelsim仿真
我們將新增的一路濾波信號添加到仿真文件中即可進行仿真
module tb_top(
);
reg aclk_0 ;
wire [31:0]S_0;
wire[39:0] M_AXIS_DATA_0_tdata;
initial
begin
aclk_0 = 1;
end
always #5 aclk_0 = ~aclk_0 ;

DSP_TEST_wrapper DSP_TEST_wrapper_i
(.M_AXIS_DATA_0_tdata(M_AXIS_DATA_0_tdata),
.aclk_0(aclk_0),
.S_0(S_0));
endmodule

仿真后添加仿真波形進行查看:

可以看到在仿真結(jié)果中,低頻信號被濾除了

編輯:hfy


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21568

    瀏覽量

    600616
  • fir濾波器
    +關(guān)注

    關(guān)注

    1

    文章

    94

    瀏覽量

    18996
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    803

    瀏覽量

    66140
收藏 人收藏

    評論

    相關(guān)推薦

    三合一濾波器的高效電磁兼容解決方案

    在電子設(shè)備日益增多的今天,電磁干擾(EMI)問題日益嚴(yán)重,尤其是在新能源汽車、工業(yè)控制和醫(yī)療設(shè)備等領(lǐng)域。深圳市維愛普電子有限公司推出的三合一濾波器,集成了高壓濾波器、DC-DC濾波器和低壓及CAN總線
    的頭像 發(fā)表于 10-15 14:49 ?106次閱讀

    DC/DC轉(zhuǎn)換中輸入濾波器穩(wěn)定性問題的簡單解決方案

    電子發(fā)燒友網(wǎng)站提供《DC/DC轉(zhuǎn)換中輸入濾波器穩(wěn)定性問題的簡單解決方案.pdf》資料免費下載
    發(fā)表于 10-14 10:05 ?0次下載
    DC/DC轉(zhuǎn)換<b class='flag-5'>器</b>中輸入<b class='flag-5'>濾波器</b>穩(wěn)定性問題的簡單<b class='flag-5'>解決方案</b>

    emi濾波器是什么濾波器

    會對電子設(shè)備的正常工作產(chǎn)生影響,甚至導(dǎo)致設(shè)備損壞。因此,EMI濾波器在電子設(shè)備中具有非常重要的作用。 EMI濾波器的原理 EMI濾波器的基本原理是利用濾波器對電磁波進行選擇性傳輸或阻斷
    的頭像 發(fā)表于 08-25 15:59 ?652次閱讀

    iir濾波器和fir濾波器的優(yōu)勢和特點

    (Infinite Impulse Response)濾波器是一種具有無限脈沖響應(yīng)的數(shù)字濾波器。它的輸出不僅取決于當(dāng)前的輸入值,還取決于之前的輸入值。IIR濾波器通常由遞歸濾波器
    的頭像 發(fā)表于 07-19 09:28 ?706次閱讀

    基于 FPGA 的任意波形發(fā)生+低通濾波器系統(tǒng)設(shè)計

    第一部分 設(shè)計概述 /Design Introduction 1.1 設(shè)計目的 本次設(shè)計包括基于FPGA的任意波形發(fā)生設(shè)計實現(xiàn)和基于FPGA的低通
    發(fā)表于 07-15 18:33

    matlab與FPGA數(shù)字信號處理系列 Verilog 實現(xiàn)并行 FIR 濾波器

    FPGA 實現(xiàn) FIR 濾波器時,最常用的是直接型結(jié)構(gòu),簡單方便,在實現(xiàn)直接型結(jié)構(gòu)時,可以選擇串行結(jié)構(gòu)/并行結(jié)構(gòu)/分布式結(jié)構(gòu)。 并行結(jié)構(gòu)即并行
    發(fā)表于 05-24 07:48

    Vivado 使用Simulink設(shè)計FIR濾波器

    今天給大俠帶來Vivado經(jīng)典案例:使用Simulink設(shè)計FIR濾波器,話不多說,上貨。 FIR(Finite Impulse Response)濾波器:有限長單位沖激響應(yīng)濾波器
    發(fā)表于 04-17 17:29

    高通濾波器、低通濾波器、帶通濾波器怎樣測幅頻特性?

    高通濾波器、低通濾波器、帶通濾波器怎樣測幅頻特性? 高通濾波器、低通濾波器和帶通濾波器是常用的
    的頭像 發(fā)表于 03-28 17:28 ?3653次閱讀

    基于多速率DA的根升余弦濾波器FPGA實現(xiàn)

    Add Cell,MAC)結(jié)構(gòu),設(shè)計方便,只需要乘法器、加法器和移位寄存即可實現(xiàn),但是在FPGA實現(xiàn)硬件乘法器十分耗費資源。特別是當(dāng)濾波器
    發(fā)表于 03-25 14:21

    Matlab生成fir濾波器抽頭系數(shù)的流程

    Vivado調(diào)用fir濾波器時,我們會遇到需要填充濾波器抽頭系數(shù)的問題,手工計算又不現(xiàn)實,所以在此向大家介紹一個生成系數(shù)的工具。
    的頭像 發(fā)表于 03-25 09:49 ?1228次閱讀
    Matlab生成fir<b class='flag-5'>濾波器</b>抽頭系數(shù)的流程

    Vivado經(jīng)典案例:使用Simulink設(shè)計FIR濾波器

    FIR(Finite Impulse Response)濾波器:有限長單位沖激響應(yīng)濾波器,又稱為非遞歸型濾波器,是數(shù)字信號處理系統(tǒng)中最基本的元件,它可以在保證任意幅頻特性的同時具有嚴(yán)格的線性相頻特性,同時其單位抽樣響應(yīng)是有限長的
    的頭像 發(fā)表于 03-25 09:18 ?1777次閱讀
    <b class='flag-5'>Vivado</b>經(jīng)典案例:使用Simulink設(shè)計FIR<b class='flag-5'>濾波器</b>

    Pi濾波器的Python實現(xiàn)示例

    Pi 濾波器是一種出色的低通濾波器,與傳統(tǒng)的 LC Pi 濾波器有很大不同。當(dāng) Pi 濾波器設(shè)計用于低通時,輸出保持穩(wěn)定且 k 系數(shù)恒定。
    的頭像 發(fā)表于 02-01 14:35 ?842次閱讀
    Pi<b class='flag-5'>濾波器</b>的Python<b class='flag-5'>實現(xiàn)</b>示例

    濾波器的插入損耗是什么?濾波器的頻率范圍是多少?

    。插入損耗的大小取決于濾波器的設(shè)計和實現(xiàn)方式,也取決于信號頻率和濾波器的通帶范圍。 濾波器的頻率范圍是指濾波器能夠有效濾除或傳遞的信號頻率范
    的頭像 發(fā)表于 12-18 13:40 ?2977次閱讀

    如何設(shè)計有源濾波器?有源濾波器如何選型?

    有源濾波器是一種類型的模擬電路實現(xiàn)一個電子濾波器使用的活性組分,通常是放大器。濾波器設(shè)計中包含的放大器可用于改善濾波器的成本、性能和可預(yù)測性
    的頭像 發(fā)表于 11-07 08:07 ?1191次閱讀
    如何設(shè)計有源<b class='flag-5'>濾波器</b>?有源<b class='flag-5'>濾波器</b>如何選型?

    數(shù)字濾波器的設(shè)計和實現(xiàn)

    濾波器設(shè)計是一個創(chuàng)建滿足指定濾波要求的濾波器參數(shù)的過程。濾波器實現(xiàn)包括濾波器結(jié)構(gòu)選擇和
    的頭像 發(fā)表于 10-31 10:38 ?1376次閱讀
    數(shù)字<b class='flag-5'>濾波器</b>的設(shè)計和<b class='flag-5'>實現(xiàn)</b>