0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

ASML新動態(tài):ASML已完成1nm芯片EUV光刻機(jī)設(shè)計

中國半導(dǎo)體論壇 ? 來源:中國半導(dǎo)體論壇 ? 作者:中國半導(dǎo)體論壇 ? 2020-12-04 17:46 ? 次閱讀

ASML已完成1nm芯片EUV光刻機(jī)設(shè)計,并加強(qiáng)與臺積電和三星的合作

ASML對中國大陸市場出口持開放態(tài)度,EUV等出口許可證

半導(dǎo)體光刻與刻蝕論壇2020將于2020年12月30-31日上海召開,光刻產(chǎn)業(yè)鏈國際動態(tài)與國產(chǎn)化發(fā)展機(jī)遇將是重要內(nèi)容。

——ASML已完成1nm芯片EUV光刻機(jī)設(shè)計

2020年11月中旬,日本東京舉行了 ITF(IMEC Technology Forum,. ITF)論壇。在論壇上,與荷蘭商半導(dǎo)體大廠艾司摩爾(ASML) 合作研發(fā)半導(dǎo)體光刻機(jī)的比利時半導(dǎo)體研究所(IMEC)正式公布了 3 納米及以下工藝的在微縮層面的相關(guān)技術(shù)細(xì)節(jié)。

根據(jù)其所公布的內(nèi)容來看,ASML 對于 3 納米、2 納米、1.5 納米、1 納米,甚至是小于 1 納米的工藝都做了清楚的發(fā)展規(guī)劃,代表著 ASML 基本上已經(jīng)能開發(fā) 1 納米工藝的光刻設(shè)備。

在論壇中,IMEC 公司總裁兼首席技術(shù)官 Luc Van den hove 在主題演說中先介紹了公司研究概況,強(qiáng)調(diào)透過與 ASML 的緊密合作,以及將下一代高辨識率極紫外光 (EUV) 光刻技術(shù)進(jìn)行了商業(yè)化。IMEC公司強(qiáng)調(diào),將繼續(xù)把工藝規(guī)??s小到1nm及以下。包括日本在內(nèi)的許多半導(dǎo)體公司相繼退出了工藝小型化,聲稱摩爾定律已經(jīng)走到了盡頭,或者說成本太高,無利可圖。

根據(jù)臺積電和三星電子介紹,從 7 納米工藝技術(shù)開始,部分工藝技術(shù)已經(jīng)推出了 NA=0.33 的 EUV 光刻設(shè)備,5 納米工藝技術(shù)也達(dá)成了頻率的提升,但對于 2 納米以后的超精細(xì)工藝技術(shù),則還是需要能夠達(dá)成更高的辨識率和更高 NA (NA=0.55) 的光刻設(shè)備。

據(jù)IMEC介紹,ASML已經(jīng)完成了作為NXE:5000系列的高NA EUV光刻系統(tǒng)的基本設(shè)計,但商業(yè)化計劃在2022年左右。這套下一代系統(tǒng)將因其巨大的光學(xué)系統(tǒng)而變得非常高大,很有可能頂在傳統(tǒng)潔凈室的天花板下。

ASML目前在售的兩款極紫外光刻機(jī)分別是TWINSCAN NXE:3400B和TWINSCAN NXE:3400C,3600D計劃明年年中出貨,生產(chǎn)效率將提升18%。

ASML過去一直與IMEC緊密合作開發(fā)光刻技術(shù),但為了開發(fā)使用高NA EUV光刻工具的光刻工藝,在IMEC的園區(qū)里成立了新的“IMEC-ASML高NA EUV實(shí)驗(yàn)室”,以促進(jìn)共同開發(fā)和開發(fā)使用高NA EUV光刻工具的光刻工藝。該公司還計劃與材料供應(yīng)商合作開發(fā)掩模和抗蝕劑。

Van den hove最后表示:“邏輯器件工藝小型化的目的是降低功耗、提高性能、減少面積、降低成本,也就是通常所說的PPAC。除了這四個目標(biāo)外,隨著小型化向3nm、2nm、1.5nm,甚至超越1nm,達(dá)到亞1nm,我們將努力實(shí)現(xiàn)環(huán)境友好、適合可持續(xù)發(fā)展社會的微處理器。”他表示,將繼續(xù)致力于工藝小型化,表現(xiàn)出了極大的熱情。

——臺積電大規(guī)模購買EUV光刻機(jī),保持業(yè)界領(lǐng)先地位

近期媒體報道,臺積電表示其部署的極紫外光(EUV)光刻工具已占全球安裝和運(yùn)行總量的50%左右,這意味著其使用的EUV機(jī)器數(shù)量超過了業(yè)內(nèi)其他任何一家公司。為了保持領(lǐng)先,臺積電已經(jīng)下單訂購了至少13臺ASML的Twinscan NXE EUV光刻機(jī),將會在2021年全年交付,不過具體的交付和安裝時間表尚不清楚。同時,明年臺積電實(shí)際需求的數(shù)量可能是高達(dá)16到17臺EUV光刻機(jī)。

目前,臺積電使用ASML的Twinscan NXE EUV光刻機(jī)在其N7+以及N5節(jié)點(diǎn)上制造芯片,但在未來幾個季度,該公司將增加N6(實(shí)際上將在2020年第四季度或2021年第一季度進(jìn)入HVM)以及同樣具有EUV層的N5P工藝。臺積電對EUV工具的需求正在增加是因?yàn)槠浼夹g(shù)越來越復(fù)雜,更多地方需要使用極紫外光刻工具處理。臺積電的N7+使用EUV來處理最多4層,以減少制造高度復(fù)雜的電路時多圖案技術(shù)的使用。

根據(jù)ASML的官方數(shù)據(jù),2018年至2019年,每月產(chǎn)能約4.5萬片晶圓(WSPM),一個EUV層需要一臺Twinscan NXE光刻機(jī)。隨著工具生產(chǎn)效率的提高,WSPM的數(shù)量也在增長。如果要為一個準(zhǔn)備使用N3或更先進(jìn)節(jié)點(diǎn)制造工藝的GigaFab(產(chǎn)能高于每月10萬片)配備設(shè)備,臺積電在該晶圓廠至少需要40臺EUV光刻設(shè)備。

ASML最新推出的Twinscan NXE:3400B和NXE:3400C光刻系統(tǒng)價格相當(dāng)昂貴。早在10月份,ASML就透露,其訂單中的4套EUV系統(tǒng)價值5.95億歐元(約合7.03億美元),因此單臺設(shè)備的成本可能高達(dá)1.4875億歐元(1.7575億美元)。也就是說,13套EUV設(shè)備可能要花費(fèi)臺積電高達(dá)22.84億美元。

但在EUV工具方面,錢并不是唯一的考慮因素。ASML是唯一生產(chǎn)和安裝EUV光刻機(jī)的公司,它的生產(chǎn)和安裝能力相對有限。在對其生產(chǎn)工藝進(jìn)行調(diào)整后,該公司認(rèn)為可以將單臺機(jī)器的周期縮減到20周,這樣一來,每年的產(chǎn)能將達(dá)到45到50套系統(tǒng)。

2020年前三季度,ASML已經(jīng)出貨了23臺EUV光刻機(jī),預(yù)計全年銷售量比2020年原計劃的35臺少一點(diǎn)。截至目前,ASML已累計出貨83臺商用EUV光刻機(jī)(其中包括2015年第一季度至2020年第三季度銷售的NXE:3350B、NXE:3400B和NXE:3400C)。如果臺積電官方關(guān)于擁有全球已安裝和運(yùn)行Twinscan NXE光刻機(jī)中約50%這個說法是正確的,那么目前可能已經(jīng)擁有30至40臺EUV光刻機(jī)。

臺積電不是唯一采購大量EUV光刻機(jī)的半導(dǎo)體制造商。三星目前只使用EUV工藝來生產(chǎn)其7LPP和5LPE SoC以及一些DRAM,但隨著三星晶圓廠擴(kuò)大EUVL工藝在生產(chǎn)上的應(yīng)用,三星半導(dǎo)體也提高了基于EUV工藝的DRAM的生產(chǎn),最終將不可避免地采購更多的Twinscan NXE光刻機(jī)。預(yù)計英特爾也將在2022年開始使用其7nm節(jié)點(diǎn)生產(chǎn)芯片時,將開始部署EUVL設(shè)備,很可能在未來幾年成為EUVL設(shè)備的主要采用者之一。

未來幾年全球?qū)UV光刻機(jī)的需求只會增加,但從目前的情況來看,在未來一段時間內(nèi),臺積電仍將是這些光刻設(shè)備的主要采購者,三星和英特爾將緊隨其后。

——三星加強(qiáng)與ASML的技術(shù)和投資合作

近日,包括CEO Peter Burnink在內(nèi)的ASML高管于訪問了三星的半導(dǎo)體工廠,討論了在EUV光刻機(jī)供應(yīng)和開發(fā)方面的合作。

ASML高管與三星副董事長金基南進(jìn)行了會談。業(yè)內(nèi)人士認(rèn)為,三星在此次會談中要求ASML供應(yīng)更多的EUV光刻機(jī),并討論了雙方在開發(fā)下一代EUV光刻機(jī)方面的合作。

據(jù)悉,三星需要更多的EUV光刻機(jī)來擴(kuò)大其在全球晶圓制造市場的份額。然而,作為世界上唯一的EUV光刻機(jī)制造商,ASML向臺積電提供的設(shè)備要多于三星。因此三星希望與ASML建立技術(shù)聯(lián)盟,以確保下一代EUV光刻機(jī)的供應(yīng)。對于ASML來說,與三星的投資合作是必要的,因?yàn)殚_發(fā)下一代EUV光刻機(jī)需要大量投資。

該報道指出,三星希望投資開發(fā)高數(shù)值孔徑的EUV光刻機(jī),以提高半導(dǎo)體微制造所需的電路分辨率。該設(shè)備的價格預(yù)計為每臺5000億韓元,比目前的EUV設(shè)備高出2到3倍。ASML計劃在2023年年中推出該設(shè)備的原型,三星則希望優(yōu)先獲得ASML的供應(yīng),以在技術(shù)上領(lǐng)先臺積電。

不過,三星一位官員表示,會議并沒有做出具體的投資決定。他說,ASML高管到訪三星是為了回應(yīng)李在镕10月份訪問ASML總部。另有消息稱,ASML的高管此次還會面了SK海力士總裁李石熙,雙方討論了擴(kuò)大UV設(shè)備供應(yīng)和促進(jìn)合作的途徑。

——對中國大陸出口持開放態(tài)度,EUV等出口許可證

2020年11月上旬, ASML全球副總裁、中國區(qū)總裁沈波透露,2020年二、三季度,該公司發(fā)往中國大陸地區(qū)的光刻機(jī)臺數(shù)超過了全球總臺數(shù)的20%。然而在2020年的進(jìn)博會上,ASML僅展示了DUV光刻機(jī),并沒有展示新的EUV光刻機(jī)。

對此,沈波表示,EUV光刻機(jī)目前還在等荷蘭政府的出口許可證。該公司須在遵守法律法規(guī)的前提下進(jìn)行光刻機(jī)出口。沈波強(qiáng)調(diào),該公司對向中國出口光刻機(jī)保持很開放態(tài)度。自30年前進(jìn)入中國市場以來,該公司在中國總計為客戶提供了700多臺裝機(jī)。

半導(dǎo)體光刻與刻蝕論壇2020將于2020年12月30-31日上海召開,光刻產(chǎn)業(yè)鏈國際動態(tài)與國產(chǎn)化發(fā)展機(jī)遇將是重要內(nèi)容。

【半導(dǎo)體光刻與刻蝕材料、設(shè)備與技術(shù)論壇2020】

在政策和市場需求的雙重驅(qū)動下,半導(dǎo)體光刻和刻蝕產(chǎn)業(yè)鏈將加速國產(chǎn)化進(jìn)程。參與企業(yè)將面臨空前的發(fā)展機(jī)遇。然而材料、技術(shù)與設(shè)備的競爭力提升,也將面臨挑戰(zhàn)。半導(dǎo)體光刻與刻蝕材料、設(shè)備與技術(shù)論壇2020將于12月30-31日在上海召開。會議由亞化咨詢主辦,多家國內(nèi)外企業(yè)重點(diǎn)支持和參與,將對半導(dǎo)體產(chǎn)業(yè)核心工藝——光刻和刻蝕產(chǎn)業(yè)鏈的重點(diǎn)議題展開深入探討。

責(zé)任編輯:lq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 半導(dǎo)體
    +關(guān)注

    關(guān)注

    334

    文章

    26658

    瀏覽量

    212862
  • 光刻機(jī)
    +關(guān)注

    關(guān)注

    31

    文章

    1141

    瀏覽量

    47076
  • ASML
    +關(guān)注

    關(guān)注

    7

    文章

    714

    瀏覽量

    41105

原文標(biāo)題:ASML新動態(tài): 1nm光刻機(jī)、臺積電、三星與中國市場

文章出處:【微信號:CSF211ic,微信公眾號:中國半導(dǎo)體論壇】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    光刻機(jī)巨頭ASML業(yè)績暴雷,芯片迎來新一輪“寒流”?

    電子發(fā)燒友網(wǎng)報道(文/黃山明)作為芯片制造過程中的核心設(shè)備,光刻機(jī)決定著芯片工藝的制程。尤其是EUV光刻機(jī)已經(jīng)成為高端
    的頭像 發(fā)表于 10-17 00:13 ?2387次閱讀

    ASML CEO:全球芯片市場亟需中國傳統(tǒng)芯片

    近日,全球光刻機(jī)巨頭ASML的首席執(zhí)行官Christophe Fouquet在接受外媒采訪時,發(fā)表了一系列引人深思的言論。他指出,盡管由于復(fù)雜的地緣政治因素,ASML無法向中國廠商出售最先進(jìn)的
    的頭像 發(fā)表于 07-09 14:20 ?523次閱讀

    ASML擬于2030年推出Hyper-NA EUV光刻機(jī),將芯片密度限制再縮小

    ASML再度宣布新光刻機(jī)計劃。據(jù)報道,ASML預(yù)計2030年推出的Hyper-NA極紫外光機(jī)(EUV),將縮小最高電晶體密度芯片的設(shè)計限制。
    的頭像 發(fā)表于 06-18 09:57 ?392次閱讀

    光刻巨人去世 阿斯麥(ASML)光刻機(jī)巨頭聯(lián)合創(chuàng)始人去世

    圈內(nèi)突發(fā)噩耗,光刻巨人去世; 阿斯麥(ASML)光刻機(jī)巨頭聯(lián)合創(chuàng)始人維姆?特羅斯特去世。 據(jù)外媒報道,在當(dāng)?shù)貢r間11日晚,荷蘭光刻機(jī)巨頭阿斯麥(AS
    的頭像 發(fā)表于 06-13 15:13 ?6867次閱讀

    后門!ASML可遠(yuǎn)程鎖光刻機(jī)!

    來源:國芯網(wǎng),謝謝 編輯:感知芯視界 Link 5月22日消息,據(jù)外媒報道,臺積電從ASML購買的EUV極紫外光刻機(jī),暗藏后門,可以在必要的時候執(zhí)行遠(yuǎn)程鎖定! 據(jù)《聯(lián)合早報》報道,荷蘭方面在
    的頭像 發(fā)表于 05-24 09:35 ?447次閱讀

    臺積電張曉強(qiáng):ASML High-NA EUV成本效益是關(guān)鍵

    據(jù)今年2月份報道,荷蘭半導(dǎo)體制造設(shè)備巨頭ASML公布了High-NA Twinscan EXE光刻機(jī)的售價,高達(dá)3.5億歐元(約合27.16億元人民幣)。而現(xiàn)有EUV光刻機(jī)的價格則為1
    的頭像 發(fā)表于 05-15 14:42 ?541次閱讀

    ASML發(fā)貨第二臺High NA EUV光刻機(jī),已成功印刷10nm線寬圖案

    ASML公司近日宣布發(fā)貨了第二臺High NA EUV光刻機(jī),并且已成功印刷出10納米線寬圖案,這一重大突破標(biāo)志著半導(dǎo)體制造領(lǐng)域的技術(shù)革新向前邁進(jìn)了一大步。
    的頭像 發(fā)表于 04-29 10:44 ?720次閱讀

    阿斯麥(ASML)公司首臺高數(shù)值孔徑EUV光刻機(jī)實(shí)現(xiàn)突破性成果

    )光刻機(jī),并已經(jīng)成功印刷出首批圖案。這一重要成就,不僅標(biāo)志著ASML公司技術(shù)創(chuàng)新的新高度,也為全球半導(dǎo)體制造行業(yè)的發(fā)展帶來了新的契機(jī)。目前,全球僅有兩臺高數(shù)值孔徑EUV
    的頭像 發(fā)表于 04-18 11:50 ?745次閱讀
    阿斯麥(<b class='flag-5'>ASML</b>)公司首臺高數(shù)值孔徑<b class='flag-5'>EUV</b><b class='flag-5'>光刻機(jī)</b>實(shí)現(xiàn)突破性成果

    ASML 首臺新款 EUV 光刻機(jī) Twinscan NXE:3800E 完成安裝

    3 月 13 日消息,光刻機(jī)制造商 ASML 宣布其首臺新款 EUV 光刻機(jī) Twinscan NXE:3800E 已完成安裝,新機(jī)型將帶來
    的頭像 發(fā)表于 03-14 08:42 ?471次閱讀
    <b class='flag-5'>ASML</b> 首臺新款 <b class='flag-5'>EUV</b> <b class='flag-5'>光刻機(jī)</b> Twinscan NXE:3800E <b class='flag-5'>完成</b>安裝

    光刻機(jī)巨頭ASML要搬離荷蘭?

    據(jù)荷蘭《電訊報》3月6日報道,因荷蘭政府的反移民政策傾向,光刻機(jī)巨頭阿斯麥(ASML)正計劃搬離荷蘭。
    的頭像 發(fā)表于 03-08 14:02 ?1064次閱讀

    ASML光刻機(jī)技術(shù)的領(lǐng)航者,挑戰(zhàn)與機(jī)遇并存

    ASML在半導(dǎo)體產(chǎn)業(yè)中扮演著舉足輕重的角色,其光刻機(jī)技術(shù)和市場地位對于全球半導(dǎo)體制造廠商來說都具有重要意義。
    發(fā)表于 03-05 11:26 ?995次閱讀

    三星清空ASML股份,11年盈利超16倍

    根據(jù)資料顯示,在2012年,為了支持ASML EUV光刻機(jī)的研發(fā)與商用,并獲得EUV光刻機(jī)的優(yōu)先供應(yīng),在2012年,英特爾、臺積電、三星均斥
    的頭像 發(fā)表于 02-23 17:27 ?922次閱讀

    ASML達(dá)成歷史性協(xié)議,三星將在2nm芯片制造取得優(yōu)勢

    現(xiàn)時,ASML是全球唯一的EUV光刻機(jī)制造商,這臺設(shè)備主要應(yīng)用于生產(chǎn)7nm及以下制程芯片。目前,ASML
    的頭像 發(fā)表于 12-18 14:31 ?476次閱讀

    三星希望進(jìn)口更多ASML EUV***,5年內(nèi)新增50臺

    EUV曝光是先進(jìn)制程芯片制造中最重要的部分,占據(jù)總時間、總成本的一半以上。由于這種光刻機(jī)極為復(fù)雜,因此ASML每年只能制造約60臺,而全球5家芯片
    的頭像 發(fā)表于 11-22 16:46 ?670次閱讀

    美國對ASML出售***的政策變化一覽

    2020年時,ASML總裁還聲稱中國即使拿到設(shè)計圖紙也無法自制光刻機(jī)。但2021年開始,其態(tài)度出現(xiàn)變化,承認(rèn)中國有可能獨(dú)立制造光刻機(jī)系統(tǒng)。2022年甚至主動表示要繼續(xù)向中國出售光刻機(jī)。
    的頭像 發(fā)表于 10-30 16:18 ?1426次閱讀