0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

2021年全球半導(dǎo)體行業(yè)技術(shù)會(huì)如何發(fā)展

Wildesbeast ? 來(lái)源:電子工程專輯 ? 作者:電子工程專輯 ? 2020-12-20 09:33 ? 次閱讀

2020年全球新冠疫情的蔓延和中美在半導(dǎo)體領(lǐng)域的冷戰(zhàn)升級(jí)雖然對(duì)全球經(jīng)濟(jì)和半導(dǎo)體產(chǎn)業(yè)造成了負(fù)面影響,但半導(dǎo)體領(lǐng)域的技術(shù)進(jìn)步卻沒(méi)有止步,有些技術(shù)甚至加快了市場(chǎng)商用化進(jìn)程。ASPENCORE全球分析師團(tuán)隊(duì)精心挑選出2021年全球半導(dǎo)體行業(yè)將出現(xiàn)或凸顯的10大技術(shù)趨勢(shì)。對(duì)比2020年10大技術(shù)趨勢(shì) ,2021年有哪些變化呢?

一、Arm架構(gòu)處理器:全面滲透高、中、低性能計(jì)算領(lǐng)域

Arm發(fā)布專門針對(duì)下一代“始終在線”筆記本電腦的Cortex-A78C CPU,可支持8個(gè)“大核”,L3緩存增加到8MB?;贑ortex-A78C的CPU芯片將成為高性能PC市場(chǎng)上x(chóng)86架構(gòu)CPU的強(qiáng)有力競(jìng)爭(zhēng)者,蘋果Mac電腦全面采用基于Arm架構(gòu)的CPU將帶動(dòng)更多Arm陣營(yíng)芯片設(shè)計(jì)廠商進(jìn)軍PC市場(chǎng),包括高通、華為和三星。就連x86陣營(yíng)的AMD據(jù)說(shuō)也在開(kāi)發(fā)基于Arm的處理器芯片,而亞馬遜AWS則在服務(wù)器市場(chǎng)驅(qū)動(dòng)Arm架構(gòu)CPU的增長(zhǎng)。在高性能計(jì)算(HPC)方面,基于Arm架構(gòu)的超級(jí)計(jì)算機(jī)“富岳(Fugaku)”贏得全球Top 500超算第一名。

Arm Cortex-A78系列CPU有針對(duì)移動(dòng)計(jì)算、兼顧性能和能效的Cortex-A78;針對(duì)汽車市場(chǎng)、強(qiáng)調(diào)安全的Cortex-A78AE;以及面向高性能計(jì)算的Cortex-A78C內(nèi)核。除了這三大海量應(yīng)用市場(chǎng)外,Arm架構(gòu)處理器在物聯(lián)網(wǎng)、邊緣計(jì)算、AI5G等領(lǐng)域也普遍滲透,成為計(jì)算機(jī)歷史上應(yīng)用最廣泛的微處理器指令集架構(gòu)(ISA)。截至2019年底,全球累積出貨1300億顆Arm處理器芯片,全世界70%的人口都在使用由Arm處理器驅(qū)動(dòng)的電子設(shè)備。

經(jīng)過(guò)30年的發(fā)展,起源于英國(guó)、由12個(gè)工程師組成的Arm公司以其獨(dú)特的IP授權(quán)商業(yè)模式和低功耗的處理性能壟斷了移動(dòng)設(shè)備市場(chǎng)。Arm現(xiàn)已成為擁有6500多名員工,價(jià)值400億美元的IP核開(kāi)發(fā)公司,而且正在帶領(lǐng)1000多家合作伙伴全面進(jìn)入嵌入式系統(tǒng)、IoT、移動(dòng)、PC和汽車應(yīng)用領(lǐng)域。如果Arm公司順利從日本軟銀轉(zhuǎn)交到英偉達(dá)旗下,將會(huì)在新興的數(shù)據(jù)中心和服務(wù)器、自動(dòng)駕駛,以及人工智能市場(chǎng)成為主導(dǎo)的計(jì)算處理架構(gòu)。無(wú)論多年壟斷PC市場(chǎng)的x86,還是后起之秀RISC-V,在性能和出貨量方面都難以望其項(xiàng)背。

二、3nm工藝節(jié)點(diǎn):臺(tái)積電和三星路線差異變大

自7nm工藝開(kāi)始,臺(tái)積電和三星Foundry就出現(xiàn)了比較大的路線演進(jìn)差異。比如,三星7nm(7LPP)更早采用EUV(極紫外光),并將5nm、4nm作為半代工藝;而臺(tái)積電繼7nm本身的演進(jìn)(N7/N7P/N7+)之后,5nm亦開(kāi)始重要的工藝迭代。

三星在7nm之后的大迭代上,采用更為激進(jìn)的晶體管結(jié)構(gòu)GAAFET(Gate-All-Around FET)。2019年年中,三星Foundry宣布3nm的PDK進(jìn)入Alpha階段(3GAE)。在更具體的結(jié)構(gòu)上,三星選擇了納米片(nanosheets),稱作MBCFET(Multi-Bridge Channel FET),不過(guò)也仍有可能開(kāi)發(fā)納米線(nanowires)的GAAFET。三星的數(shù)據(jù)顯示,3nm相比其7nm工藝,性能提升35%,功耗降低50%,面積降低45%。從2020年年中的消息來(lái)看,三星3nm試生產(chǎn)已延后至2021年Q1,量產(chǎn)則需等到2022年。

2020年4月份,臺(tái)積電首次披露3nm工藝(N3)的具體信息。N3是N5工藝之后的又一次正式迭代,預(yù)計(jì)晶體管密度提升1.7倍(單元級(jí)密度在290MTr/mm2左右),相比N5性能提升至多50%,功耗降低至多30%。臺(tái)積電N3工藝的風(fēng)險(xiǎn)生產(chǎn)計(jì)劃在2021年,量產(chǎn)于2022年下半年開(kāi)始??紤]到成熟性、功耗和成本問(wèn)題,臺(tái)積電表示N3仍將采用傳統(tǒng)的FinFET結(jié)構(gòu),不過(guò)其3nm工藝本身的步進(jìn)仍有機(jī)會(huì)采用GAAFET技術(shù)。

實(shí)際上,這兩家全球最先進(jìn)的晶圓代工廠從5nm工藝開(kāi)始就出現(xiàn)了技術(shù)演進(jìn)的較大差異。三星在大方向的節(jié)點(diǎn)演進(jìn)上,技術(shù)更為激進(jìn),但臺(tái)積電在晶體管密度和實(shí)際性能/功耗表現(xiàn)上仍有相當(dāng)優(yōu)勢(shì)。

三、高性能計(jì)算:數(shù)據(jù)中心專用加速的遞進(jìn)

富士通于2020年3月推出的A64FX作為專門面向HPC(高性能計(jì)算)負(fù)載的芯片,其本身的結(jié)構(gòu)代表著HPC、數(shù)據(jù)中心市場(chǎng)的重要趨勢(shì)。它在數(shù)據(jù)上做到了超算領(lǐng)域的算力和效率第一,遠(yuǎn)高于Intel Xeon+Nvidia Tesla+內(nèi)存的組合,很像是CPU、GPU外加片上高速內(nèi)存的結(jié)合體。不過(guò)其整體架構(gòu)是monolithic的一體融合,省去了CPU與加速處理器之間的chip-to-chip通訊,而且在存儲(chǔ)系統(tǒng)方面做了更靠近計(jì)算核心的集成,部分類似于特定域的設(shè)計(jì)。A64FX包含48個(gè)內(nèi)核,每個(gè)內(nèi)核512bit寬度管線,每顆芯片帶8GiB HMB2存儲(chǔ)。

英偉達(dá)的CUDA編程讓其GPU能夠廣泛應(yīng)用于HPC領(lǐng)域,英偉達(dá)本身也在思考HPC的發(fā)展路線。2020年10月,英偉達(dá)推出BlueField-2家族DPU(data processing unit)和DOCA軟件開(kāi)發(fā)套裝——DPU宣稱是芯片上的數(shù)據(jù)中心。簡(jiǎn)單地說(shuō),DPU是面向數(shù)據(jù)中心,加速特定負(fù)載的芯片。

除了計(jì)算(或AI加速)部分的Ampere GPU(BlueField-2X),在網(wǎng)絡(luò)、存儲(chǔ)和安全方面,BlueField芯片中有可編程的Arm核以及Mellanox Networking適配器(SmartNIC),包括“軟件定義的安全”、“軟件定義的存儲(chǔ)”、“軟件定義的網(wǎng)絡(luò)”和基礎(chǔ)設(shè)施管理。Mellanox已經(jīng)歸入英偉達(dá)旗下,而Arm目前被炒得正熱的并購(gòu)一事,顯然在此場(chǎng)景下也變得易于理解。

在DSA加速器領(lǐng)域,英偉達(dá)很早就意識(shí)到了數(shù)據(jù)中心需要專門的處理器,以逐漸顛覆CPU主導(dǎo)的市場(chǎng),尤其是著力在數(shù)據(jù)中心安全、網(wǎng)絡(luò)、存儲(chǔ)方面的效率和性能。這類策略本身也足以規(guī)避Arm在高性能市場(chǎng)的固有缺陷。這可能也是AMD收購(gòu)賽靈思的主旨所在,而數(shù)據(jù)中心加速業(yè)務(wù)早在前年就已經(jīng)成為賽靈思的發(fā)展重點(diǎn)。

2020年這幾個(gè)有關(guān)數(shù)據(jù)中心的市場(chǎng)動(dòng)作及技術(shù)演進(jìn)方向,足以表明數(shù)據(jù)中心的專用計(jì)算時(shí)代正在有序推進(jìn)。

四、傳感器融合:硬件算法結(jié)合驅(qū)動(dòng)自動(dòng)駕駛、無(wú)人機(jī)工業(yè)機(jī)器人等自主系統(tǒng)

在自動(dòng)駕駛和無(wú)人機(jī)等復(fù)雜應(yīng)用場(chǎng)景中,多傳感器融合(Multi-sensor Fusion, MSF)將來(lái)自多個(gè)和多種類型傳感器的信息和數(shù)據(jù),通過(guò)高性能處理器和軟件算法,以一定的規(guī)則進(jìn)行自動(dòng)分析和綜合,以完成決策和執(zhí)行。攝像頭是應(yīng)用最為廣泛的圖像傳感器,但在光線不佳的環(huán)境中性能表現(xiàn)明顯下降?;陲w行時(shí)間(ToF)原理的超聲波、雷達(dá)和激光雷達(dá)(LiDAR)等傳感器是攝像頭的很好補(bǔ)充和增強(qiáng)。

復(fù)雜的環(huán)境和氣候條件要求來(lái)自圖像、超聲波、雷達(dá)和激光雷達(dá)等傳感器源的數(shù)據(jù)進(jìn)行交叉參考和計(jì)算,這需要具有實(shí)時(shí)處理性能的AI芯片和深度學(xué)習(xí)模型算法。只有在系統(tǒng)中融合傳感器、芯片和AI算法等多種技術(shù),才能保證自主系統(tǒng)在實(shí)際應(yīng)用場(chǎng)景中的精確和安全操作。除了ADAS/自動(dòng)駕駛應(yīng)用外,傳感器融合技術(shù)在工業(yè)機(jī)器人和無(wú)人機(jī)等領(lǐng)域也將得到發(fā)展和普及。

五、芯粒(chiplet):開(kāi)啟芯片設(shè)計(jì)IP新模式

自1965年以來(lái),摩爾定律一直是主導(dǎo)半導(dǎo)體行業(yè)快速增長(zhǎng)的基本定律。隨著半導(dǎo)體制造工藝節(jié)點(diǎn)從7nm、5nm到3nm推進(jìn),逐漸逼近物理極限,芯片設(shè)計(jì)和制造的成本不斷增加,整個(gè)半導(dǎo)體行業(yè)的發(fā)展速度明顯放緩下來(lái)。領(lǐng)先的半導(dǎo)體制造商開(kāi)始轉(zhuǎn)向芯粒(chiplet),期望為半導(dǎo)體設(shè)計(jì)和集成尋求新的解決方案,使半導(dǎo)體行業(yè)重返兩年翻倍的發(fā)展周期。

芯粒用多個(gè)小芯片來(lái)代替單個(gè)芯片,并將它們封裝集成在一起,這樣可以在同樣的面積上容納更多的晶體管,而且可以顯著提高芯片生產(chǎn)良率。芯粒就好比面向?qū)ο蟮木幊蹋且环N基于對(duì)象概念的思維范式,硬件設(shè)計(jì)正在發(fā)生類似的思維范式轉(zhuǎn)變。但是,芯粒之間需要接口,不僅僅是電氣接口,還有可以簡(jiǎn)化設(shè)計(jì)、制造與協(xié)作的接口。全球行業(yè)組織開(kāi)放計(jì)算項(xiàng)目(OCP)正致力于通過(guò)引入新的接口、鏈接層,以及早期概念驗(yàn)證,來(lái)定義和開(kāi)發(fā)統(tǒng)一標(biāo)準(zhǔn)的芯粒體系架構(gòu)。

據(jù)市調(diào)機(jī)構(gòu)Omdia最新發(fā)布的報(bào)告顯示,在設(shè)計(jì)和制造過(guò)程中采用“芯?!钡奈⑻幚砥餍酒磥?lái)5年會(huì)快速增長(zhǎng),到2024年全球市場(chǎng)將達(dá)到58億美元,而2018年只有6.45億美元。目前,Marvell、AMD、英特爾、臺(tái)積電等半導(dǎo)體公司都相繼發(fā)布了Chiplet產(chǎn)品。Chiplet將為半導(dǎo)體產(chǎn)業(yè)帶來(lái)新的機(jī)會(huì),比如降低大規(guī)模芯片設(shè)計(jì)的門檻;從IP升級(jí)為Chiplet供應(yīng)商,以提升IP價(jià)值,有效降低芯片客戶的設(shè)計(jì)成本;增加多芯片模塊(Multi-Chip Module,MCM)業(yè)務(wù),Chiplet迭代周期遠(yuǎn)低于ASIC,可提升晶圓廠和封裝廠的產(chǎn)線利用率;建立可互操作的組件、互連、協(xié)議和軟件生態(tài)系統(tǒng)。

芯原公司的戴偉民博士提出了“IP as a Chiplet ”理念,旨在通過(guò)Chiplet實(shí)現(xiàn)特定功能IP的‘即插即用’,解決7nm、5nm及以下工藝節(jié)點(diǎn)中性能與成本的平衡問(wèn)題,并降低大規(guī)模集成電路芯片的設(shè)計(jì)時(shí)間和風(fēng)險(xiǎn),從SoC中的IP發(fā)展到SiP中以Chiplet形式呈現(xiàn)的IP。全球半導(dǎo)體IP市場(chǎng)規(guī)模越來(lái)越大,預(yù)計(jì)將從2019年的50億美元上升至2027年的101億美元。Fabless模式的演進(jìn)催生了芯片設(shè)計(jì)服務(wù)產(chǎn)業(yè),半導(dǎo)體IP授權(quán)和芯粒(chiplet)的發(fā)展將催生更多機(jī)會(huì)。

六、系統(tǒng)級(jí)封裝(SiP):先進(jìn)封裝平臺(tái)的集大成者

芯片封裝技術(shù)的發(fā)展大致經(jīng)歷了四個(gè)階段:第一階段是插孔元件(DIP/PGA);第二階段是表面貼裝(SMT);第三階段是面積陣列封裝(BGA/CSP);第四階段是高密度系統(tǒng)級(jí)封裝(SiP)。目前,全球半導(dǎo)體封裝的主流技術(shù)已經(jīng)進(jìn)入第四階段,SiP、PoP和Hybrid等主要封裝技術(shù)已大規(guī)模應(yīng)用,部分高端封裝技術(shù)已開(kāi)始向芯粒(Chiplet)方向發(fā)展。SiP封裝正在從單面封裝向雙面封裝轉(zhuǎn)移,預(yù)計(jì)2021年雙面封裝SiP將會(huì)成為主流,到2022年將會(huì)出現(xiàn)多層3D SiP產(chǎn)品。

倒裝芯片(Flip Chip)和引線鍵合(Wire-bond)已經(jīng)在高端和低端芯片的SiP封裝、2D/2.5D/3D異構(gòu)SiP中得到了廣泛應(yīng)用,是目前主要的SiP封裝形式。據(jù)Yole關(guān)于SiP的市場(chǎng)分析報(bào)告預(yù)測(cè),2019年采用倒裝芯片和引線鍵合形式的SiP封裝產(chǎn)品市場(chǎng)規(guī)模為122億美元(占全體SiP封裝市場(chǎng)90%以上),預(yù)計(jì)到2025年將達(dá)到171億美元,2019-2025年復(fù)合年增長(zhǎng)率為6%;以臺(tái)積電為主導(dǎo)的扇出(FO)型封裝也已經(jīng)成為SiP的主要封裝形式之一,2019年市場(chǎng)規(guī)模為11.48億美元,到2025年將增至13.64億美元;嵌入式裸片SiP封裝正從單裸片嵌入過(guò)渡到多裸片嵌入,盡管這種形式的SiP封裝產(chǎn)品市場(chǎng)規(guī)模很小,但是增長(zhǎng)勢(shì)頭強(qiáng)勁(增長(zhǎng)率高達(dá)27%),預(yù)計(jì)2025年將超過(guò)3.15億美元。

移動(dòng)和消費(fèi)電子是SiP封裝的主要應(yīng)用市場(chǎng),其中尤以手機(jī)RF器件為主。隨著5G網(wǎng)絡(luò)的全面部署,5G手機(jī)和基站等電信設(shè)施將為SiP封裝創(chuàng)造新的機(jī)會(huì)。以蘋果Apple Watch和AirPods為主的可穿戴設(shè)備因?yàn)閷?duì)體積和尺寸的嚴(yán)格要求而更多采用SiP封裝,成為SiP在消費(fèi)電子領(lǐng)域的主要增長(zhǎng)點(diǎn)。SiP的另一驅(qū)動(dòng)力來(lái)自MEMS和傳感器,包括壓力傳感器、慣性測(cè)量單元、光學(xué)MEMS、微測(cè)輻射熱計(jì)、振蕩器和環(huán)境傳感器等,快速增長(zhǎng)的應(yīng)用領(lǐng)域主要包括汽車ADAS/自動(dòng)駕駛、機(jī)器人和物聯(lián)網(wǎng)等。

七、寬禁帶半導(dǎo)體:在關(guān)鍵領(lǐng)域取代硅基器件

第三代半導(dǎo)體也稱寬禁帶半導(dǎo)體,是指禁帶寬度大于2.2eV的半導(dǎo)體材料,主要代表是碳化硅(SiC)和氮化鎵(GaN)技術(shù)。與第一代和第二代半導(dǎo)體相比,具有更高的禁帶寬度、高擊穿電壓、低導(dǎo)通電阻、幾乎無(wú)開(kāi)關(guān)損耗以及優(yōu)秀的電導(dǎo)率和熱導(dǎo)率等優(yōu)勢(shì),能在效率更高的前提下,將體積大大縮小,在高溫、高壓、高功率和高頻領(lǐng)域有望替代前兩代半導(dǎo)體材料。

此前,阻礙第三代半導(dǎo)體技術(shù)普及的最大原因是SiC和GaN襯底成本過(guò)高,器件成本比傳統(tǒng)硅基產(chǎn)品高5到10倍,但隨著產(chǎn)業(yè)鏈對(duì)該類器件需求的增加,大英寸襯底技術(shù)的成熟和工藝的提升,制造成本已逼近硅基器件。2021年將是第三代半導(dǎo)體器件的關(guān)鍵年,預(yù)計(jì)電動(dòng)汽車、工業(yè)充電、5G高頻器件以及可再生能源和儲(chǔ)能領(lǐng)域的電源應(yīng)用,都能夠從寬禁帶半導(dǎo)體的發(fā)展中受益,尤其是高頻高壓應(yīng)用中將大量取代原有的Si IGBT和Si MOSFET。

此外,由于第三代半導(dǎo)體產(chǎn)品主要使用成熟工藝,在美國(guó)持續(xù)升級(jí)對(duì)中國(guó)半導(dǎo)體產(chǎn)業(yè)技術(shù)封鎖的大環(huán)境下,有望成為產(chǎn)業(yè)突破口。所以在政策方面,中國(guó)也在2030計(jì)劃和“十四五”國(guó)家研發(fā)計(jì)劃中明確第三代半導(dǎo)體是重要發(fā)展方向。

八、“域架構(gòu)”理念主導(dǎo)未來(lái)汽車開(kāi)發(fā)

目前汽車業(yè)普遍采用扁平化的點(diǎn)對(duì)點(diǎn)“分布式電子架構(gòu)”,也就是通過(guò)上百個(gè)電子控制單元(ECU)來(lái)實(shí)現(xiàn)車輛的電子電氣功能,再通過(guò)相應(yīng)的汽車總線將相關(guān)聯(lián)的ECU連接在一起。但隨著汽車向自動(dòng)化、互聯(lián)化、電氣化和服務(wù)化方向快速發(fā)展,傳統(tǒng)基于硬件的分布式架構(gòu)在系統(tǒng)擴(kuò)展性、軟硬件兼容性、安全性和升級(jí)便利性方面遭遇了瓶頸,越來(lái)越不利于汽車行業(yè)快速迭代的要求。未來(lái),汽車底層電子架構(gòu)會(huì)朝著高性能“域架構(gòu)”的方向發(fā)展,聯(lián)網(wǎng)能力更強(qiáng),能夠提供安全的OTA無(wú)線更新,開(kāi)發(fā)效率高,是可升級(jí)、可擴(kuò)展、能夠適應(yīng)未來(lái)發(fā)展的平臺(tái)。

因此,與ECU相比,伴隨著“域架構(gòu)”出現(xiàn)的域控制器DCU)的目標(biāo)將更專注于集成度、安全性和核心計(jì)算。例如通過(guò)無(wú)人駕駛或傳感器融合DCU實(shí)現(xiàn)自動(dòng)化;通過(guò)智能座艙DCU實(shí)現(xiàn)車對(duì)車、車對(duì)其他一切的通信以及軟件的無(wú)線升級(jí);通過(guò)動(dòng)力系統(tǒng)DCU實(shí)現(xiàn)插電式混合動(dòng)力系統(tǒng)到全電池電動(dòng)汽車的電氣化蛻變,都是這一趨勢(shì)的典型應(yīng)用。

DCU引人注目的另一個(gè)原因,還在于它引導(dǎo)汽車供應(yīng)商將研發(fā)資金集中在單個(gè)的子系統(tǒng)上,而不是十幾個(gè)以上的不同子裝置。這樣一來(lái),想要實(shí)現(xiàn)復(fù)雜而強(qiáng)大的DCU,汽車供應(yīng)商就不能只依賴大多數(shù)現(xiàn)有的成品芯片,而是更傾向于精心設(shè)計(jì)的集成化器件。

九、FPGA:數(shù)據(jù)中心和邊緣計(jì)算的AI加速器

自從上世紀(jì)80年代Altera和Xilinx開(kāi)創(chuàng)可編程邏輯器件類型FPGA以來(lái),F(xiàn)PGA已經(jīng)經(jīng)歷了幾波巨大的變化。除了其本身固有的可編程靈活性外,網(wǎng)絡(luò)連接和數(shù)據(jù)交換功能使得FPGA成為云計(jì)算和數(shù)據(jù)中心不可或缺的海量數(shù)據(jù)處理單元,特別是機(jī)器學(xué)習(xí)/AI、網(wǎng)絡(luò)加速和計(jì)算存儲(chǔ)等應(yīng)用對(duì)FPGA有著強(qiáng)勁的需求,比如SmartNIC、搜索引擎加速器、AI推理引擎等。新興的邊緣計(jì)算將掀起新的一波FPGA需求熱潮,包括5G基站和電信基礎(chǔ)設(shè)施、邊緣端網(wǎng)關(guān)和路由器,以及IoT智能終端等。自動(dòng)駕駛、智能工廠、智慧城市和交通等將驅(qū)動(dòng)FPGA應(yīng)用進(jìn)一步的增長(zhǎng)和擴(kuò)展。

據(jù)Semico研究公司預(yù)測(cè),全球數(shù)據(jù)中心加速器(包括CPU、GPU、FPGA和ASIC)市場(chǎng)規(guī)模將從2018年的28.4億美元增長(zhǎng)到2023年的211.9億美元,年復(fù)合增長(zhǎng)率(CAGR)高達(dá)50%。其中增長(zhǎng)最快的當(dāng)數(shù)FPGA加速器,2018年只有10億美元,到2023年將超過(guò)50億美元,其增長(zhǎng)驅(qū)動(dòng)力主要來(lái)自企業(yè)級(jí)數(shù)據(jù)負(fù)載加速應(yīng)用。FPGA行業(yè)最大的兩家廠商Intel和Xilinx都發(fā)布了一系列FPGA加速卡,比如英特爾FPGA PAC D5005,N3000,以及基于Arria 10 GX FPGA 的可編程加速卡;Xilinx Alveo U50/U200/U250/U280 數(shù)據(jù)中心加速卡。Achronix也推出了基于Speedster7t FPGA的加速卡,以把握數(shù)據(jù)中心對(duì)高帶寬工作負(fù)載優(yōu)化的需求。

Altera被英特爾收購(gòu),Xilinx現(xiàn)在也極有可能歸入AMD名下,這說(shuō)明FPGA始終是一個(gè)利基市場(chǎng),在市場(chǎng)規(guī)模方面難以跟CPU和GPU這類通用芯片相比而成為足夠強(qiáng)大的獨(dú)立市場(chǎng)。然而,隨著FPGA獨(dú)有的特性使其成為云計(jì)算和邊緣計(jì)算的首選AI推理加速器,未來(lái)幾年我們將看到FPGA加速卡出現(xiàn)在更多計(jì)算處理單元中。

十、體征信號(hào)監(jiān)測(cè)AFE技術(shù):將VSM系統(tǒng)的“健康監(jiān)測(cè)”植入智能可穿戴設(shè)備

據(jù)市調(diào)機(jī)構(gòu)IDC發(fā)布的最新2020年第三季度數(shù)據(jù),全球可穿戴設(shè)備總出貨量為1.25億臺(tái),同比增長(zhǎng)35%。其中,以蘋果AirPods為代表的可聽(tīng)戴設(shè)備(Hearables)市場(chǎng)出貨量約7000萬(wàn)臺(tái),以Apple Watch為代表的智能手表(Watch)出貨量超過(guò)3000萬(wàn)臺(tái),以小米腕帶為代表的智能手環(huán)(Wrist Band)出貨量約2000萬(wàn)臺(tái)。

全球新冠病毒的蔓延極大地刺激了具有“健康監(jiān)測(cè)”功能的智能可穿戴設(shè)備的銷售。備受全球用戶青睞的Apple Watch提供了豐富的健康醫(yī)療管理功能,特別是心率檢測(cè)。Apple Watch Series6可讓用戶測(cè)量血氧飽和度,從而更好地掌握自己的整體健康狀況。新一代的智能可穿戴設(shè)備利用高精度模擬前端技術(shù)進(jìn)行人體體征信號(hào)監(jiān)測(cè),為消費(fèi)者提供更多“健康監(jiān)測(cè)”功能,在未來(lái)幾年將有顯著的市場(chǎng)增長(zhǎng)。

具有生命體征信號(hào)監(jiān)測(cè)(VSM)功能的智能可穿戴設(shè)備增長(zhǎng)最快的細(xì)分市場(chǎng)之一。以前,VSM設(shè)備主要用于醫(yī)院、救護(hù)車和直升機(jī)等專業(yè)救助場(chǎng)所,比如床邊監(jiān)視器和重癥監(jiān)護(hù)病房的監(jiān)視器等。這些高端系統(tǒng)支持多導(dǎo)聯(lián)ECG測(cè)量、氧飽和度、體溫、二氧化碳以及其他參數(shù)的測(cè)量?,F(xiàn)在,可穿戴式VSM系統(tǒng)正逐漸融入我們的日常生活,讓醫(yī)生能夠遠(yuǎn)程監(jiān)控病人,讓老年人能夠獨(dú)立生活更長(zhǎng)的時(shí)間。運(yùn)動(dòng)和鍛煉方面的VSM應(yīng)用也將成為一種趨勢(shì),它不僅能幫助人們監(jiān)控生命體征參數(shù),還能提供鍛煉是否有效的反饋信息。

在可穿戴設(shè)備中,通常可以測(cè)量多個(gè)參數(shù),如心率、活動(dòng)、皮膚阻抗、氧飽和度和體溫等。ADI公司開(kāi)發(fā)出一種多模式模擬前端(AFE)芯片,可以直接通過(guò)連接生物電位電極來(lái)測(cè)量心臟信號(hào)。它能夠測(cè)量皮膚電反應(yīng),以此來(lái)跟蹤壓力或精神狀態(tài)。基于這種單芯片AFE方案即可創(chuàng)建多功能、小尺寸且非常節(jié)能高效的可穿戴VSM系統(tǒng)。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • ARM
    ARM
    +關(guān)注

    關(guān)注

    134

    文章

    9006

    瀏覽量

    366020
  • 半導(dǎo)體
    +關(guān)注

    關(guān)注

    334

    文章

    26637

    瀏覽量

    212564
  • cpu
    cpu
    +關(guān)注

    關(guān)注

    68

    文章

    10769

    瀏覽量

    210420
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    標(biāo)題:群“芯”閃耀的半導(dǎo)體行業(yè)

    的晶圓上一顆顆晶粒(Die)切割分離。封裝是指把硅片上的電路管腳,用導(dǎo)線接引到外部接頭處,以便與其它器件連接。 半導(dǎo)體行業(yè)全球發(fā)展趨勢(shì)半導(dǎo)體
    發(fā)表于 09-23 15:43

    半導(dǎo)體產(chǎn)業(yè)能支撐未來(lái)的發(fā)展

    半導(dǎo)體產(chǎn)業(yè)能支撐未來(lái)的發(fā)展相比于2009今年全球半導(dǎo)體 業(yè)的態(tài)勢(shì)好了許多,但是仍有少部分人提出質(zhì)疑,2010
    發(fā)表于 02-26 14:52

    技術(shù)創(chuàng)新是半導(dǎo)體行業(yè)持續(xù)發(fā)展的源動(dòng)力

    ,半導(dǎo)體器件市場(chǎng),技術(shù)創(chuàng)新,LED,太陽(yáng)能光伏2011轉(zhuǎn)眼即逝,縱觀2011,企業(yè)在半導(dǎo)體行業(yè)
    發(fā)表于 12-08 17:24

    汽車半導(dǎo)體行業(yè)2012或?qū)⒒緦?shí)現(xiàn)復(fù)蘇

    (Tudor Brown)在臺(tái)北表示,他預(yù)計(jì)半導(dǎo)體行業(yè)在2012將實(shí)現(xiàn)個(gè)位數(shù)增長(zhǎng)。 市場(chǎng)研究公司IHS iSuppli周四已將2011全球
    發(fā)表于 01-15 10:07

    2012全球半導(dǎo)體產(chǎn)業(yè)營(yíng)收會(huì)觸底反彈嗎

    中國(guó)行業(yè)咨詢網(wǎng)(www.china-consulting.cn)認(rèn)為,全球半導(dǎo)體產(chǎn)業(yè)營(yíng)收繼2011成長(zhǎng)1~2%之后,2012成長(zhǎng)率將在0
    發(fā)表于 02-09 16:30

    2013年半導(dǎo)體發(fā)展如何

    在2012,整體的電子元器件行業(yè)形勢(shì)不佳,尤其是半導(dǎo)體芯片庫(kù)存積壓過(guò)多,而在今年陸續(xù)有聽(tīng)說(shuō)行業(yè)有緩和跡象,不知半導(dǎo)體
    發(fā)表于 02-27 14:12

    全球半導(dǎo)體產(chǎn)值再創(chuàng)新高,AOI設(shè)備需求熱度有望保持

    國(guó)家/地區(qū)半導(dǎo)體市場(chǎng)的萎縮;另外,在技術(shù)更新?lián)Q代潮來(lái)臨之前,部分行業(yè)龍頭企業(yè)將提前布局領(lǐng)先技術(shù)。2016全球
    發(fā)表于 02-16 11:33

    中美貿(mào)易戰(zhàn)對(duì)全球半導(dǎo)體產(chǎn)業(yè)鏈的影響

    制造商,人工智能設(shè)計(jì)公司,互聯(lián)網(wǎng)公司在國(guó)家政策的鼓勵(lì)下,紛紛投入“造芯”行列,本土半導(dǎo)體分銷行業(yè)的頻繁整合、動(dòng)蕩加劇,利潤(rùn)和市場(chǎng)空間受到擠壓。中美貿(mào)易戰(zhàn)對(duì)全球半導(dǎo)體產(chǎn)業(yè)鏈的影響從上世紀(jì)
    發(fā)表于 08-30 16:02

    2019全球半導(dǎo)體產(chǎn)業(yè)(重慶)博覽會(huì)

    `中國(guó)半導(dǎo)體產(chǎn)業(yè)面臨著前所未有的發(fā)展機(jī)遇,只有抓住這個(gè)時(shí)間窗口才能重新定義全球市場(chǎng)格局。本博覽會(huì)歡迎你的到來(lái),共同發(fā)展,展示領(lǐng)先
    發(fā)表于 09-17 08:23

    2019全球半導(dǎo)體產(chǎn)業(yè)(重慶)博覽會(huì)

    `同期舉行(全球半導(dǎo)體產(chǎn)業(yè)發(fā)展創(chuàng)新論壇等,由行業(yè)專家學(xué)者及***大力支持主辦),2019全球電子生產(chǎn)設(shè)備(重慶)展覽
    發(fā)表于 09-19 08:11

    智慧重慶,2019全球半導(dǎo)體產(chǎn)業(yè)博覽會(huì)歡迎您

    :2019全球電子生產(chǎn)設(shè)備博覽會(huì)(重慶)2019全球觸摸屏與顯示產(chǎn)業(yè)博覽會(huì)(重慶)同期活動(dòng)論壇: 全球
    發(fā)表于 11-20 09:23

    2019全球半導(dǎo)體產(chǎn)業(yè)(重慶)博覽會(huì)

    `中國(guó)半導(dǎo)體產(chǎn)業(yè)面臨著前所未有的發(fā)展機(jī)遇,只有抓住這個(gè)時(shí)間窗口才能重新定義全球市場(chǎng)格局。本博覽會(huì)歡迎你的到來(lái),共同發(fā)展,展示領(lǐng)先
    發(fā)表于 12-23 19:30

    這一,半導(dǎo)體行業(yè)風(fēng)云變幻 精選資料分享

    ?2020,半導(dǎo)體行業(yè)可以說(shuō)是風(fēng)云變幻的一。在新冠肺炎疫情的沖擊下,市場(chǎng)先抑后揚(yáng),從一度悲觀預(yù)測(cè)的負(fù)增長(zhǎng),轉(zhuǎn)為5.1%的正增長(zhǎng)。資本領(lǐng)域更是提速換擋,美國(guó)費(fèi)城
    發(fā)表于 07-27 06:50

    【華秋×薩科微】2023年半導(dǎo)體行業(yè)將迎全新發(fā)展良機(jī)

    半導(dǎo)體行業(yè)發(fā)展概覽WSTS 預(yù)測(cè),2023全球半導(dǎo)體市場(chǎng)規(guī)模將同比減少4.1%,降至 5,56
    發(fā)表于 03-17 11:08

    2021全球半導(dǎo)體行業(yè)展望.zip

    2021全球半導(dǎo)體行業(yè)展望
    發(fā)表于 01-13 09:05 ?3次下載