0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

芯片設計中的Makefile簡單教程

電子設計 ? 來源:電子設計 ? 作者:電子設計 ? 2020-12-24 17:41 ? 次閱讀

Makefile可以做什么?

Makefile可以根據指定的依賴規(guī)則和文件是否有修改來執(zhí)行命令。常用來編譯軟件源代碼,只需要重新編譯修改過的文件,使得編譯速度大大加快。

Makefile的基本格式

目標:依賴 命令

目標是要生成的結果,依賴是生成結果需要的源文件和上一步驟的結果,命令是當目標不存在或者依賴更新時執(zhí)行的命令。注意命令前必須用tab來縮進,不可以用空格。

示例一:

simv: tb.sv dut.v vcs -full64 -sverilog tb.sv dut.v

這個例子中,simv是目標,是我們要生成的仿真執(zhí)行文件。tb.sv和dut.v是依賴,執(zhí)行命令前會先檢查tb.sv和dut.v是否存在,以及是否有修改。當依賴文件有修改時,或者目標不存在時,則執(zhí)行命令vcs -full64 -sverilog tb.sv dut.v來生成simv。

偽目標

有時候目標并不是真實要生成的文件,比如我們要用Makefile調用simv來仿真,并不存在一個目標文件,這種情況我們稱之為偽目標PHONY。

示例二:

sim: simv ./simv -xxx

這個例子中,sim并不是要生成的結果文件,而只是我們給操作起的一個名字。由于偽目標總是不存在,所以命令也一定會重新執(zhí)行,即使simv沒有修改。

我們常常在Makefile的開頭來用.PHONY顯式指明偽目標。

示例三:

.PHONY: simsim: simv ./simv -xxx

這樣,我們在terminal里就可以用make sim來調用仿真命令。

默認目標

如果我們只是敲make(后面不跟目標),那么將調用Makefile里的第一個目標。那么我們?yōu)榱朔乐钩鲥e通常把第一個目標定義成all(執(zhí)行完整的流程)或者help(顯示幫助菜單)。我更傾向于后者,可以幫助我們回憶如何使用Makefile腳本。

示例四:

.PHONY: help simhelp: echo "make help" echo "make simv to compile" echo "make sim to run simulation"simv: tb.sv dut.v vcs -full64 -sverilog tb.sv dut.vsim: ./simv -xxx

這樣,當我們不記得如何使用Makefile的時候,直接敲make就會有使用幫助菜單。另外,我們還可以看到,一個目標后面可以執(zhí)行多條命令,比如這里的三條echo命令。

隱藏回顯

在執(zhí)行命令前,make會先回顯命令(就是打印出命令)。上面的make help會輸出:

echo "make help"make helpecho "make simv to compile"make simv to compileecho "make sim to run simulation"make sim to run simulation

看起來有點重復了。在命令前加@可以關閉回顯示,這正是我們需要的。改進過的Makefile如示例五。

示例五:

.PHONY: helphelp: @echo "make help" @echo "make simv to compile" @echo "make sim to run simulation"

makefile內定義變量

當源文件比較多,且常需要增減,我們可以把依賴定義成一個變量,放成文件開頭,如下。

示例六:

tbfile := tb.sv env_pkg.sv test_pkg.svrtlfile := dut.v a.v b.v c.vsimv: $(tbfile) $(rtlfile) vcs -full64 -sverilog $(tbfile) $(rtlfile)

當要增減文件時,只需要修改文件開頭即可。

調用shell命令

如果rtl文件太多,還可以在Makefile里調用shell命令來幫助生成。如下面的例子:

示例七:

tbfile := $(shell ls *.sv)rtlfile := $(shell find rtl -name "*.v")simv: $(tbfile) $(rtlfile) vcs -full64 -sverilog $(tbfile) $(rtlfile)

例七中的tb和rtl文件寫兩遍,是不是有點麻煩。我們最好能簡化一下。在Makefile中有幾個特殊變量,如$@表示目標,$^表示依賴。所以示例七中的命令可以簡化成:

simv: $(tbfile) $(rtlfile)vcs -full64 -sverilog $^

學到到這里你已經可以寫出大部分的Makefile腳本了。

為makefile增加選項

但我們還需要進一步學習兩個重要功能:選項和目錄遞歸。

我們常需要在仿真時提供一些選項,比如testcase名,是否是post仿真,是否要dump波形。那么怎么實現呢?其實Makefile允許從命令行提供額外的變量,格式為OPTION=value。如下面的例子,假設有三個選項,TC、POST、DUMP:

示例八:

ifeq ($(POST),1)SRC := "netlist.v"else SRC := "rtl.v"endififeq ($(DUMP),1) DUMP_DEF := "+define+DUMP"else DUMP_DEF := ""endifsim: @echo "vcs -full64 -sverilog $(SRC) $(DUMP_DEF) +UVM_TESTNAME=$(TC)"

那么,使用時就可以通過命令行控制選項開關:

make sim TC=basic_testmake sim TC=basic_test POST=1make sim TC=basic_test DUMP=1make sim TC=basic_test POST=1 DUMP=1

makefile的大殺器:目錄遞歸

另一個重要功能是目錄遞歸,目錄遞歸有一個典型的應用:make clean。在頂層目錄里make clean時,將會自動調用子目錄的make clean。這個怎么實現呢?看下面的例子:

示例九:

cat ./Makefileclean: rm -f *~ make -C a clean make -C b cleancat ./a/Makefileclean: rm -f *~cat ./b/Makefileclean: rm -f *~ make -C c cleancat ./b/c/Makefileclean: rm -f *~

我們看到一個make -C subdir clean,就是說可以通過-C來把目標clean傳遞給子目錄,相當于在Makefile里調用了另一個Makefile。這樣在頂到make clean時,將自動遞歸到所有的子目錄。

Makefile的引用與復用

最后還有一點,我們也會經常遇到,把共用的Makefile腳本寫到common.mk,然后再include common.mk,這樣可以讓Makefile看起來更簡潔。

示例十

# ../common/common.mkbasic: @ echo "call basic"# Makefiledep := $(shell depth)all: basic @ echo "call all"include $(dep)/common/common.mk

如果被include的文件使用相對路徑的話,必須相對敲make命令的目錄。當然也可以像這個示例一樣,先獲取項目根目錄,然后再用變量來引用路徑。這樣比較易于移植。

IC Flow的聯系

到這里,學了這么多,你已經可以寫一些復雜的Makefile了。但重在應用,在IC設計里,我們常常用Makefile串起多個工具,實現完整的流程。下面是一個啟發(fā)型的例子。

示例十一:

.PHONY: help clean rtl lint sim syn lec pr pt lvshelp: @echo "make help"clean: rm -rf *~ *.log *.fsdb csrc simv* ... make -C xxx cleanrtl: python3 ...lint: sg_shell/nLint ...sim: vcs/irun ...syn: dc_shell -64bit -topographical -f run_syn.tcl | tee log/syn.loglec: fm_shell/lec ...pt: pt_shell ...pr: innovus/icc ...lvs: calibre ...

習題:

根據自己公司的情況,把示例十一補充完整。

審核編輯:符乾江
聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯系本站處理。 舉報投訴
  • Linux
    +關注

    關注

    87

    文章

    11171

    瀏覽量

    208479
  • Makefile
    +關注

    關注

    1

    文章

    125

    瀏覽量

    19153
收藏 人收藏

    評論

    相關推薦

    關于Makefile自動生成-autotools的使用

    在Linux應用開發(fā),編寫Makefile是一項必備技能,因為它定義了工程中所有文件的編譯順序、規(guī)則和依賴關系,決定了哪些文件需要編譯以及它們的編譯順序。 雖然對初級開發(fā)者而言,編寫復雜
    的頭像 發(fā)表于 07-25 15:50 ?1411次閱讀
    關于<b class='flag-5'>Makefile</b>自動生成-autotools的使用

    飛凌全志T527開發(fā)板進行簡單的性能測試

    本文主要使用CoreMark、Dhrystone和Stream對芯片性能進行初步檢測,并與ELFBorad進行了簡單的單核性能比較。 OK527N-C CoreMark 獲取CoreMark源碼
    發(fā)表于 07-15 09:56

    STM32CubeMX生成的makefile如何更改程序燒錄地址?

    現在程序需要燒錄到0x8008000的地址 如何更改makefile 和 STM32F103C8Tx_FLASH.ld文件 ,可以讓程序正常的運行?
    發(fā)表于 06-03 08:24

    makefile啟用了OTA_FW_UPGRADE:=1,應用程序崩潰的原因?怎么解決?

    在手表應用程序makefile 啟用了 OTA_FW_UPGRADE:=1,并嘗試從 MTB 庫的 LE OTA APP(安卓)使用 OTA 升級固件,在更新了 ota.bin
    發(fā)表于 06-03 07:11

    快來用Makefile管理工程,提高工作效率!

    一、makefile簡介Makefile是一種特別設計用來幫助項目的構建管理的文件。它定義了編譯器和IDE工程管理系統(tǒng)自動執(zhí)行的命令集合,主要用于自動化編譯,減輕重復性任務的負擔。Makefile
    的頭像 發(fā)表于 05-18 08:10 ?259次閱讀
    快來用<b class='flag-5'>Makefile</b>管理工程,提高工作效率!

    linux系統(tǒng)Makefile的使用方法

    的基礎用法講起,逐步深入到更高級的應用,為你呈現一個全面而詳細的Makefile使用手冊。 第一:Makefile的基本結構 一個最簡單Makefile包含規(guī)則,規(guī)則由目標(targ
    的頭像 發(fā)表于 05-11 08:49 ?549次閱讀

    C語言Makefile入門到精通全攻略

    Makefile是一種編譯控制文件,廣泛用于項目的自動化構建。它定義了一系列的規(guī)則來指導構建的過程。
    發(fā)表于 04-28 15:02 ?1063次閱讀

    STM8怎么配置使用里面的make.exe和makefile?

    官方給的STM8A關于LIN配置的軟件包,參考用戶手冊,怎么配置使用里面的make.exe和makefile
    發(fā)表于 04-11 07:42

    使用GCC編譯STM32F1,makefile引用.a庫報錯的原因?

    使用STcube生成了一個基于GCC便于的工程且編譯完成。 現在想加入自己的.a庫文件,進行如下操作: 1)在main函數中加入.a庫的頭文件; 2)引用.a庫的函數; 3)在makefile
    發(fā)表于 04-07 06:09

    簡單認識集成芯片

    集成芯片,又稱集成電路,是現代電子技術的重要組成部分。它是將電子元件,如晶體管、電容器、電阻器等,通過特定的工藝制造過程,集成在一個微小的芯片上。這種集成化的設計使得電路元件之間的連接更加緊湊,從而大幅提高了電路的性能和可靠性
    的頭像 發(fā)表于 03-20 16:01 ?636次閱讀

    如何確定芯片的方向 最簡單芯片原理

     最簡單芯片原理可以使用邏輯門芯片來說明。邏輯門芯片是由幾個晶體管組成的電路,用于執(zhí)行基本的邏輯運算。   最簡單的邏輯門
    的頭像 發(fā)表于 01-25 15:47 ?1.3w次閱讀
    如何確定<b class='flag-5'>芯片</b>的方向 最<b class='flag-5'>簡單</b>的<b class='flag-5'>芯片</b>原理

    Makefile可以做什么?Makefile的基本格式

    Makefile可以根據指定的依賴規(guī)則和文件是否有修改來執(zhí)行命令。常用來編譯軟件源代碼,只需要重新編譯修改過的文件,使得編譯速度大大加快。
    的頭像 發(fā)表于 01-25 11:18 ?602次閱讀

    全面而詳細的Makefile使用手冊

    Makefile是一種編譯控制文件,廣泛用于項目的自動化構建。它定義了一系列的規(guī)則來指導構建的過程。
    的頭像 發(fā)表于 01-24 12:35 ?761次閱讀

    1.6元的藍牙芯片,電路簡單到令人發(fā)指!

    KT6368A 芯片是一款支持藍牙雙模的純數據芯片,藍牙 5.1 版本。芯片的亮點在超小尺寸,超級價格。以及簡單明了的透傳和串口 AT 控制功能。大大降低了嵌入藍牙在其它產品的開發(fā)難度
    的頭像 發(fā)表于 01-14 13:44 ?1051次閱讀
    1.6元的藍牙<b class='flag-5'>芯片</b>,電路<b class='flag-5'>簡單</b>到令人發(fā)指!

    Makefile簡介和使用方法

    Makefile是和make工具一起配合使用的,用于組織管理項目源代碼的編譯和鏈接。
    的頭像 發(fā)表于 12-26 12:24 ?1466次閱讀