0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

如何生成實(shí)例化模板?

FPGA技術(shù)驛站 ? 來源:Lauren的FPGA ? 作者:Lauren的FPGA ? 2020-12-30 16:23 ? 次閱讀

在ISE中,可以很方便地生成RTL模塊的實(shí)例化模板,Vivado其實(shí)也有這個功能,只是要通過Tcl命令實(shí)現(xiàn),而且這個命令隱藏的比較深。以Vivado 2020.2為例,在菜單下選擇Tools,點(diǎn)擊其中的XHub Stores,確保Design Utilities被安裝,如下圖所示,因?yàn)檫@個命令就位于其中。

該命令要在Elaborated Design階段執(zhí)行,因此,要先打開ElaboratedDesign。該命令提供了多個選項(xiàng),包括:

-verilog:生成Verilog模板

-vhdl:生成VHDL模板

-stub:生成實(shí)體部分

-template:生成端口映射部分

-cell:指定針對哪個單元生成實(shí)例化模板

我們看一下具體使用方法,以Vivado自帶的例子工程wavegen為例。打開Elaborated Design,執(zhí)行如下圖所示的4條腳本。

代碼第2行會生成如下圖所示內(nèi)容(-stub -verilog):

0ce3cddc-4a1f-11eb-8b86-12bb97331649.png

代碼第3行會生成如下圖所示內(nèi)容(-template -verilog):

0d200c48-4a1f-11eb-8b86-12bb97331649.png

代碼第4行會生成如下圖所示內(nèi)容(-template -vhdl)

0d466f00-4a1f-11eb-8b86-12bb97331649.png

代碼第5行會生成如下圖所示內(nèi)容(-stub -vhdl)

0d67a530-4a1f-11eb-8b86-12bb97331649.png

原文標(biāo)題:如何生成實(shí)例化模板

文章出處:【微信公眾號:Lauren的FPGA】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

責(zé)任編輯:haq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • RTL
    RTL
    +關(guān)注

    關(guān)注

    1

    文章

    385

    瀏覽量

    59626
  • 模板
    +關(guān)注

    關(guān)注

    0

    文章

    108

    瀏覽量

    20542
  • 代碼
    +關(guān)注

    關(guān)注

    30

    文章

    4697

    瀏覽量

    68085

原文標(biāo)題:如何生成實(shí)例化模板

文章出處:【微信號:Lauren_FPGA,微信公眾號:FPGA技術(shù)驛站】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    不同領(lǐng)域中AI大模型的應(yīng)用實(shí)例

    交互及證券行業(yè)投研報(bào)告、數(shù)據(jù)分析能力,精準(zhǔn)理解并響應(yīng)客戶經(jīng)理需求,匹配合適的投資組合或基金產(chǎn)品。同時,自動生成個性營銷溝通話術(shù),輔助客戶經(jīng)理展業(yè),內(nèi)容生成效率提升90%以上,輔助提升20%展業(yè)成功率。 知識文件管理 :諾亞
    的頭像 發(fā)表于 10-23 15:13 ?153次閱讀

    如何用C++創(chuàng)建簡單的生成式AI模型

    生成式AI(Generative AI)是一種人工智能技術(shù),它通過機(jī)器學(xué)習(xí)模型和深度學(xué)習(xí)技術(shù),從大量歷史數(shù)據(jù)中學(xué)習(xí)對象的特征和規(guī)律,從而能夠生成全新的、完全原創(chuàng)的內(nèi)容,包括文本、圖像、音頻和視頻等。與傳統(tǒng)的基于規(guī)則或模板
    的頭像 發(fā)表于 07-05 17:53 ?633次閱讀

    如何在tc377啟動軟件時檢查SSH實(shí)例的內(nèi)存是否已初始

    我有一個關(guān)于 SSH 內(nèi)存初始的問題。 問題是:如何在 tc377 啟動軟件時檢查 SSH 實(shí)例的內(nèi)存是否已初始? 謝謝。
    發(fā)表于 05-22 06:48

    STM32cubeMX 6.10.0使用LL庫生成定時器模板報(bào)錯的原因?

    分頻參數(shù)設(shè)置 2 - 1(X - Y)情況下,生成模板出現(xiàn)了bug /* USER CODE END TIM6_Init 1 */TIM_InitStruct.Prescaler
    發(fā)表于 05-20 07:50

    使用SDK5生成工程模板程序時老是出現(xiàn)錯誤是為啥?

    使用st SDK5生成工程模板程序時老是出現(xiàn)這樣的錯誤是為啥?我的SDK:5.0.1STM32CubeMX:4.26.0Keil5:5.25 求助萬能的網(wǎng)友。
    發(fā)表于 04-28 08:36

    CW32F003E4芯片入門學(xué)習(xí):4.工程模板創(chuàng)建(使用例程或模板)

    模板路徑:CW32F003_StandardPeripheralLib_V1.4ExamplesTemplate
    的頭像 發(fā)表于 04-24 14:14 ?338次閱讀
    CW32F003E4芯片入門學(xué)習(xí):4.工程<b class='flag-5'>模板</b>創(chuàng)建(使用例程或<b class='flag-5'>模板</b>)

    STM32L4R5生成工程模板時,必須使用CubeMx,但是生成的程序編譯極慢為什么?

    開發(fā)STM32L4R5芯片。在生成工程模板時,必須使用CubeMx,但是生成的程序編譯極慢 1、采用compiler 5編譯很慢,但是不報(bào)錯。 2、采用complier6編譯較快,但是報(bào)錯了,說是
    發(fā)表于 04-19 07:32

    電源模塊測試數(shù)據(jù)一鍵導(dǎo)出,自定義報(bào)告模板

    NSAT-8000電源模塊測試系統(tǒng)可以便捷、快速地創(chuàng)建多樣、個性的報(bào)告模板,方便統(tǒng)一匯總、管理、對比數(shù)據(jù),為電源模塊的性能分析和評估提供有力支持。
    的頭像 發(fā)表于 04-16 14:43 ?232次閱讀

    LabVIEW模板匹配位置信息導(dǎo)出

    大家好,我在利用ni vision assistant生成模板匹配界面時,想要將每一個匹配物體的位置信息導(dǎo)出到word或者Excel,但是他這個匹配個數(shù)不確定,怎么樣把匹配到的所有物體信息導(dǎo)出呀?利用哪些編程?謝謝大家了
    發(fā)表于 03-11 20:22

    使用Jenkins和單個模板部署多個Kubernetes組件

    在持續(xù)集成和部署中,我們通常需要部署多個實(shí)例或組件到Kubernetes集群中。通過Jenkins的管道腳本,我們可以自動這個過程。在本文中,我將演示如何使用Jenkins Pipeline及單個
    的頭像 發(fā)表于 01-02 11:40 ?651次閱讀
    使用Jenkins和單個<b class='flag-5'>模板</b>部署多個Kubernetes組件

    如何使用CMW500測試頻譜模板

    ,頻譜模板被用于評估和驗(yàn)證無線信號性能,并幫助發(fā)現(xiàn)和解決潛在的無線干擾問題。CMW500是一款常用的無線通信測試設(shè)備,特別適用于頻譜分析和信號生成等應(yīng)用。 接下來,我們將詳細(xì)介紹如何使用CMW500測試頻譜模板。我們將分為以下幾
    的頭像 發(fā)表于 12-25 15:10 ?1295次閱讀

    OpenCV邊緣模板匹配算法原理詳解

    OpenCV中自帶的模板匹配算法,完全是像素基本的模板匹配,特別容易受到光照影響,光照稍微有所不同,該方法就會歇菜了!搞得很多OpenCV初學(xué)者剛學(xué)習(xí)到該方法時候很開心,一用該方法馬上很傷心
    的頭像 發(fā)表于 12-07 10:56 ?1218次閱讀
    OpenCV邊緣<b class='flag-5'>模板</b>匹配算法原理詳解

    鴻蒙原生應(yīng)用/元服務(wù)開發(fā)-新版本端云一體模板體驗(yàn)反饋

    一、前言 云端一體模板是基于Serverless服務(wù)構(gòu)建的一套模板,提供了應(yīng)用生態(tài)常見場景需求的代碼實(shí)現(xiàn),開發(fā)者可將所需能力快速部署和集成到自己的應(yīng)用中。 二、準(zhǔn)備 體驗(yàn)最新的遠(yuǎn)端一體
    發(fā)表于 12-05 14:57

    單個實(shí)例、多重實(shí)例和參數(shù)實(shí)例的區(qū)別

    在FB塊中調(diào)用定時器時,選擇了單個實(shí)例,那么該定時器的背景數(shù)據(jù)塊就在系統(tǒng)塊中生成了,也就是說,它不存在于FB的背景數(shù)據(jù)塊中。
    發(fā)表于 12-04 10:35 ?1023次閱讀
    單個<b class='flag-5'>實(shí)例</b>、多重<b class='flag-5'>實(shí)例</b>和參數(shù)<b class='flag-5'>實(shí)例</b>的區(qū)別

    如何用Python自動套用模板批量生成PDF文檔

    日常辦公中,經(jīng)常會使用PDF文檔,難免需要對PDF文檔進(jìn)行編輯,有時候PDF文檔中的大部分內(nèi)容都是一樣的,只是發(fā)送對象不同。 這種模板套用的場景下,使用Python進(jìn)行自動就尤為方便,用最短的時間
    的頭像 發(fā)表于 10-31 10:56 ?1385次閱讀
    如何用Python自動套用<b class='flag-5'>模板</b>批量<b class='flag-5'>生成</b>PDF文檔