0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA中的時(shí)鐘相關(guān)概念

FPGA之家 ? 來(lái)源:FPGA之家 ? 作者:FPGA之家 ? 2021-01-15 09:37 ? 次閱讀

一、時(shí)鐘相關(guān)概念

理想的時(shí)鐘模型是一個(gè)占空比為50%且周期固定的方波。Tclk為一個(gè)時(shí)鐘周期,T1為高脈沖寬度,T2為低脈沖寬度,Tclk=T1+T2。占空比定義為高脈沖寬度與周期之比,即T1/Tclk。

25885ee6-507a-11eb-8b86-12bb97331649.png

圖1 理想時(shí)鐘波形

建立時(shí)間(Tsu):是指在時(shí)鐘上升沿到來(lái)之前數(shù)據(jù)必須保持穩(wěn)定的時(shí)間;

保持時(shí)間(Th):是指在時(shí)鐘上升沿到來(lái)以后數(shù)據(jù)必須保持穩(wěn)定的時(shí)間。如圖2所示。

25a58c82-507a-11eb-8b86-12bb97331649.png

圖2 建立和保持時(shí)間

一個(gè)數(shù)據(jù)需要在時(shí)鐘的上升沿鎖存,那么這個(gè)數(shù)據(jù)就必須在這個(gè)時(shí)鐘上升沿的建立時(shí)間和保持時(shí)間內(nèi)保持穩(wěn)定。

上面列舉的是一個(gè)理想的時(shí)鐘波形,而實(shí)際時(shí)鐘信號(hào)的分析要比這復(fù)雜得多。時(shí)鐘本身也具有一些不確定性,如時(shí)鐘抖動(dòng)(jitter)和時(shí)鐘偏斜(sknew)等。時(shí)鐘的邊沿變化不可能總是理想的瞬變,它會(huì)有一個(gè)從高到低或者從低到高的變化過(guò)程,實(shí)際的情況抽象出來(lái)就如圖3所示,時(shí)鐘信號(hào)邊沿變化的不確定時(shí)間稱之為時(shí)鐘偏斜(clock skew)。再回到之前定義的建立時(shí)間和保持時(shí)間,嚴(yán)格的說(shuō),建立時(shí)間就應(yīng)該是Tsu+T1,而保持時(shí)間就應(yīng)該是Th+T2。

25e67c42-507a-11eb-8b86-12bb97331649.png

圖3 時(shí)鐘抖動(dòng)模型

時(shí)鐘分析的起點(diǎn)是源寄存器(reg1),終點(diǎn)是目的寄存器(reg2)。時(shí)鐘和其他信號(hào)的傳輸一樣都會(huì)有延時(shí)。圖4中,時(shí)鐘信號(hào)從時(shí)鐘源傳輸?shù)皆醇拇嫫鞯难訒r(shí)定義為Tc2s,傳輸?shù)侥康募拇嫫鞯难訒r(shí)定義為Tc2d,時(shí)鐘網(wǎng)絡(luò)延時(shí)就定義為Tc2d與Tc2s之差,即Tskew=Tc2d-Tc2s。

261291b0-507a-11eb-8b86-12bb97331649.png

圖4 時(shí)鐘偏斜的寄存器傳輸模型

圖5是時(shí)鐘偏斜模型的波形表示。

263ddd3e-507a-11eb-8b86-12bb97331649.png

圖5 時(shí)鐘偏斜的波形圖

clk是源時(shí)鐘,可以認(rèn)為是一個(gè)理想的時(shí)鐘模型。clk_1是時(shí)鐘傳輸?shù)皆醇拇嫫鱮eg1的波形(延時(shí)Tc2s),clk_2是時(shí)鐘傳輸?shù)侥康募拇嫫鱮eg2的波形(延時(shí)Tc2d)。data_1是數(shù)據(jù)在源寄存器reg1的傳輸波形,data_2是數(shù)據(jù)在目的寄存器reg2的傳輸波形。

266fcd1c-507a-11eb-8b86-12bb97331649.png

圖6 數(shù)據(jù)與時(shí)鐘關(guān)系

原文標(biāo)題:時(shí)鐘相關(guān)概念

文章出處:【微信公眾號(hào):FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

責(zé)任編輯:haq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21539

    瀏覽量

    600501
  • 數(shù)據(jù)
    +關(guān)注

    關(guān)注

    8

    文章

    6760

    瀏覽量

    88618
  • 時(shí)鐘
    +關(guān)注

    關(guān)注

    10

    文章

    1701

    瀏覽量

    131187

原文標(biāo)題:時(shí)鐘相關(guān)概念

文章出處:【微信號(hào):zhuyandz,微信公眾號(hào):FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    FPGA如何消除時(shí)鐘抖動(dòng)

    FPGA(現(xiàn)場(chǎng)可編程門陣列)設(shè)計(jì),消除時(shí)鐘抖動(dòng)是一個(gè)關(guān)鍵任務(wù),因?yàn)?b class='flag-5'>時(shí)鐘抖動(dòng)會(huì)直接影響系統(tǒng)的時(shí)序性能、穩(wěn)定性和可靠性。以下將詳細(xì)闡述FPGA
    的頭像 發(fā)表于 08-19 17:58 ?698次閱讀

    淺談如何克服FPGA I/O引腳分配挑戰(zhàn)

    在運(yùn)行PlanAhead軟件實(shí)施工具前設(shè)計(jì)是無(wú)錯(cuò)的。工具的眾多I/O和時(shí)鐘相關(guān)規(guī)則可保證I/O布局是合法的。 可利用PlanAhead的DRC對(duì)話框來(lái)選擇相應(yīng)的規(guī)則。如果工具發(fā)現(xiàn)違反規(guī)則的情況,將會(huì)
    發(fā)表于 07-22 00:40

    FPGA 高級(jí)設(shè)計(jì):時(shí)序分析和收斂

    FPGA/ASIC 時(shí)序定義的基礎(chǔ)概念。后面要講到的其它時(shí)序約束都是建立在周期約束的基礎(chǔ)上的,很多其它時(shí)序公式,可以用周期公式推導(dǎo)。周期約束是一個(gè)基本時(shí)序和綜合約束,它附加在時(shí)鐘網(wǎng)線上,時(shí)序分析工具根據(jù)
    發(fā)表于 06-17 17:07

    FPGA時(shí)鐘電路結(jié)構(gòu)原理

    FPGA 包含一些全局時(shí)鐘資源。以AMD公司近年的主流FPGA為例,這些時(shí)鐘資源由CMT(時(shí)鐘
    發(fā)表于 04-25 12:58 ?1570次閱讀
    <b class='flag-5'>FPGA</b>的<b class='flag-5'>時(shí)鐘</b>電路結(jié)構(gòu)原理

    FPGA輸入的時(shí)鐘信號(hào)必須是方波么?正弦波會(huì)有影響么?

    FPGA設(shè)計(jì)時(shí)鐘信號(hào)通常需要滿足一定的要求。 首先,時(shí)鐘信號(hào)在FPGA必須是一個(gè)周期性的信
    的頭像 發(fā)表于 01-31 11:31 ?3028次閱讀

    FPGA時(shí)鐘內(nèi)部設(shè)計(jì)方案

    時(shí)鐘設(shè)計(jì)方案 在復(fù)雜的FPGA設(shè)計(jì),設(shè)計(jì)時(shí)鐘方案是一項(xiàng)具有挑戰(zhàn)性的任務(wù)。設(shè)計(jì)者需要很好地掌握目標(biāo)器件所能提供的時(shí)鐘資源及它們的限制,需
    發(fā)表于 01-22 09:30 ?510次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>時(shí)鐘</b>內(nèi)部設(shè)計(jì)方案

    FPGA時(shí)鐘的用法

    生成時(shí)鐘包括自動(dòng)生成時(shí)鐘(又稱為自動(dòng)衍生時(shí)鐘)和用戶生成時(shí)鐘。自動(dòng)生成時(shí)鐘通常由PLL或MMCM生成,也可以由具有分頻功能的
    的頭像 發(fā)表于 01-11 09:50 ?1525次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>中</b><b class='flag-5'>時(shí)鐘</b>的用法

    FPGA設(shè)計(jì)技巧—多時(shí)鐘域和異步信號(hào)處理解決方案

    有一個(gè)有趣的現(xiàn)象,眾多數(shù)字設(shè)計(jì)特別是與FPGA設(shè)計(jì)相關(guān)的教科書都特別強(qiáng)調(diào)整個(gè)設(shè)計(jì)最好采用唯一的時(shí)鐘域。
    的頭像 發(fā)表于 12-22 09:04 ?1354次閱讀
    <b class='flag-5'>FPGA</b>設(shè)計(jì)技巧—多<b class='flag-5'>時(shí)鐘</b>域和異步信號(hào)處理解決方案

    請(qǐng)問(wèn)AD7626與FPGA如何對(duì)接?

    D+/-后如何進(jìn)行字節(jié)對(duì)齊? 在自時(shí)候總模式下,AD7626要求接收端能夠動(dòng)態(tài)的選擇采樣時(shí)鐘相位,這在FPGA也是無(wú)法做到的。 請(qǐng)問(wèn)有沒(méi)有比較好的通過(guò)FPGA與AD7626對(duì)接的方案?
    發(fā)表于 12-22 06:34

    請(qǐng)問(wèn)2個(gè)AD9576之間怎么實(shí)現(xiàn)同步來(lái)保證16個(gè)AD輸出時(shí)鐘相位都同步?

    的0-8輸出時(shí)鐘之間可以實(shí)現(xiàn)相位同步,那2個(gè)AD9576之間怎么實(shí)現(xiàn)同步來(lái)保證16個(gè)AD輸出時(shí)鐘相位都同步?
    發(fā)表于 12-05 08:16

    AD7606 SPI通信的時(shí)鐘極性和時(shí)鐘相位要求是什么?

    AD7606的關(guān)于SPI通信的時(shí)鐘極性和時(shí)鐘相位要求是什么? 我的主控芯片采用SPI有AD7606通信,我在數(shù)據(jù)手冊(cè)好像沒(méi)有看到專門關(guān)于SPI通信時(shí)鐘極性和
    發(fā)表于 12-01 06:56

    LTC6915的采樣時(shí)鐘是多少?與FPGA系統(tǒng)時(shí)鐘相同嗎?

    LTC6915的采樣時(shí)鐘是多少,與FPGA 系統(tǒng)時(shí)鐘相同嗎
    發(fā)表于 11-14 07:55

    如何正確應(yīng)用FPGA的四種時(shí)鐘資源?

    把握DCM、PLL、PMCD和MMCM知識(shí)是穩(wěn)健可靠的時(shí)鐘設(shè)計(jì)策略的基礎(chǔ)。賽靈思在其FPGA中提供了豐富的時(shí)鐘資源,大多數(shù)設(shè)計(jì)人員在他們的FPGA設(shè)計(jì)
    發(fā)表于 10-30 11:47 ?1426次閱讀
    如何正確應(yīng)用<b class='flag-5'>FPGA</b>的四種<b class='flag-5'>時(shí)鐘</b>資源?

    Xilinx FPGA芯片內(nèi)部時(shí)鐘和復(fù)位信號(hào)使用方法

    如果FPGA沒(méi)有外部時(shí)鐘源輸入,可以通過(guò)調(diào)用STARTUP原語(yǔ),來(lái)使用FPGA芯片內(nèi)部的時(shí)鐘和復(fù)位信號(hào),Spartan-6系列內(nèi)部時(shí)鐘源是5
    的頭像 發(fā)表于 10-27 11:26 ?1633次閱讀
    Xilinx <b class='flag-5'>FPGA</b>芯片內(nèi)部<b class='flag-5'>時(shí)鐘</b>和復(fù)位信號(hào)使用方法

    FPGA為什么有時(shí)候還需要一個(gè)時(shí)鐘配置芯片提供時(shí)鐘呢?

    FPGA為什么有時(shí)候還需要一個(gè)時(shí)鐘配置芯片提供時(shí)鐘呢? FPGA(Field Programmable Gate Array)是一種可編程邏輯器件,可以根據(jù)不同需要編程,實(shí)現(xiàn)不同的功能
    的頭像 發(fā)表于 10-25 15:14 ?1538次閱讀