0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA開發(fā)工具套餐搭配推薦以及軟件鏈接

FPGA之家 ? 來源:FPGA之家 ? 作者:FPGA之家 ? 2021-01-18 11:48 ? 次閱讀

一、Xilinx(全球FPGA市場份額最大的公司,其發(fā)展動態(tài)往往也代表著整個FPGA行業(yè)的動態(tài))

(1) Xilinx官方軟件下載地址鏈接

https://china.xilinx.com/support/download.html

(2)Xilinx官方分析、綜合工具 + 第三方仿真工具 + 第三方代碼編輯器

套餐1:ISE_14.7 + ModelSim_SE_10.5(及以上版本) + Notepad++/UltraEdit

套餐2:ISE_14.7 (Win10特別版) + ModelSim_SE_10.5(及以上版本) + Notepad++/UltraEdit

注:ISE_14.7是ISE最后一個版本也是比較穩(wěn)定的一個版本,能夠基本兼容Win10,對Win7、Win8的兼容性更好,其中對Win7的兼容性最好。為此,Xilinx公司專門在虛擬機(jī)上定制了一版兼容Win10的ISE_14.7,也是真真正正最后一款I(lǐng)SE軟件。具體使用套餐1還是套餐2視電腦系統(tǒng)而定。

套餐1:Vivado_16.4 + ModelSim_SE_10.5(及以上版本) + Notepad++/UltraEdit

套餐2:Vivado_18.1(Vivado_18.2) + ModelSim_SE_10.5(及以上版本) + Notepad++/UltraEdit

套餐3:Vivado_18.3(Vivado_19.1) + ModelSim_SE_10.5(及以上版本) + Notepad++/UltraEdit

套餐4:Vitis_19.2 + ModelSim_SE_10.5(及以上版本) + Notepad++/UltraEdit

注:Xilinx的開發(fā)軟件目前主要有2類,2012年之前主要是ISE,支持7系列及以下的芯片,而Vivado是Xilinx公司于2012年開始發(fā)布的集成設(shè)計環(huán)境,主要支持7系列及以上的芯片,包括Zynq、UltraScale、UltraScale+、MPSoC、RFSoC等高端器件的支持,并引入Block Design的設(shè)計方法,且對文件夾的管理更加智能化。

其中16.4版本是極其穩(wěn)定的一個版本,非常好用,對Win7的兼容性最好,而Win8、Win10在調(diào)用DDR3 IP核時會導(dǎo)致軟件崩潰,從17版本開始其軟件的界面有較大變化,使之顯得更加簡潔,但是17版本的穩(wěn)定性都不是非常好,很多群友在安裝使用時遇到過較多問題,直到18版本的出現(xiàn),對Win8、Win10的支持性明顯改善,而且在綜合速度上也有所提升(但相對于Quartus還是慢很多),18.3版本開始支持高分屏。

19.1版本是最后一個單獨(dú)安裝Vivado后包含SDK的軟件,從19.2開始都集成到Vitis中了,只要你安裝了Vitis一個軟件那么所有的FPGA開發(fā)工具都有了,但是整個軟件卻很大僅壓縮包就有30多G,所以安裝的時候僅勾選你所需要的工具就不會占用太多硬盤空間。Xilinx對Vitis軟件已經(jīng)免費(fèi)開放了從此不再需要liense。如果是Win7系統(tǒng)推薦使用套餐1;如果是Win8、Win10系統(tǒng)推薦使用套餐2或者套餐3;如果想體驗高分屏效果推薦使用套餐3;如果想體驗最新的Vitis可以選擇使用套餐4。

二、Altera(2015年被Intel斥資167億美元收購,全球FPGA市場份額第二)

(1)Altera官方軟件下載地址鏈接

http://fpgasoftware.intel.com/?edition=lite&_ga=2.28234298.705863500.1555226955-214156939.1542027124

(2) Altera官方分析、綜合工具 + 第三方仿真工具 + 第三方代碼編輯器

套餐1:QuartusII_13.0.0.156+ ModelSim_SE_10.5(及以上版本) + Notepad++/UltraEdit

套餐2:Quartus_Prime_15.1+ ModelSim_SE_10.5(及以上版本) + Notepad++/UltraEdit

套餐3:Quartus_Prime_19.1+ ModelSim_SE_10.5(及以上版本) + Notepad++/UltraEdit

注:Altera的開發(fā)軟件名叫Quartus,被收購前名為QuartusII,被收購后從改名為Quartus_Prime(從15.1版本開始往后)。13.0是最經(jīng)典的一個版本,其中又包含兩個自版本分別是13.0.1.232和13.0.0.156,在下載器件庫的時候千萬要注意。13.0版本還保留著VWF仿真的功能,但是已經(jīng)很少使用該功能了。13.0版本在綜合速度、界面、穩(wěn)定性、兼容性等方面來講都是非常完美的,并作為很多教學(xué)開發(fā)使用。13.0的下一代軟件13.1在RTL視圖上已經(jīng)有了一些視覺上的變化,Quartus_Prime在界面上也較之前的版本有很大的變化。如果使用的是一些新器件推薦使用套餐2或者套餐3,如果是新手學(xué)習(xí),推薦使用套餐1。

二、Lattice(低功耗產(chǎn)品是其特色,全球FPGA市場份額第三,蘋果7手機(jī)內(nèi)部搭載的FPGA芯片就是Lattice的產(chǎn)品)

(1)Lattice官方軟件下載地址鏈接

http://www.latticesemi.com/zh-CN/Products/DesignSoftwareAndIP/FPGAandLDS/LatticeDiamond

(2)Lattice官方分析、綜合工具 + 第三方仿真工具 + 第三方代碼編輯器

套餐1:Diamond(最新版) + ModelSim_SE_10.5(及以上版本) + Notepad++/UltraEdit

注:Lattice官網(wǎng)一直只提供最新版本的開發(fā)工具,目前更新到3.10,相對于老版本進(jìn)行了一些優(yōu)化和增加了對新器件的支持。

三、其他說明

(1)關(guān)于ModelSim

雖然這些開發(fā)軟件都有自帶的仿真工具,但是我們推薦使用獨(dú)立激活的ModelSim_SE版本,該版本功能強(qiáng)大,操作起來更加得心應(yīng)手,是眾多FPGA開發(fā)工程師的不二選擇。ModelSim從10.5開始支持同時打開多個界面,這樣就更方便多工程同時仿真,提高效率。如果個人習(xí)慣于使用10.5以下的版本也可以堅持使用。在一些常用功能和界面布局上差異并不是很大。

Xilinx也推薦了和Viviado適配的ModelSim版本,詳細(xì)請看:https://www.xilinx.com/support/answers/68324.html,如果沒有版本匹配

正確在編譯庫的時候會有報錯,但只要版本差距不是太大也能夠正常使用(一些特殊的IP核除外),本文推薦的版本搭配都是可以。

(2)關(guān)于文本編輯器

之所以使用第三方代碼編輯器是因為軟件自帶的代碼編輯器在某些功能上做的并不是很好,為了加快開發(fā)效率,我們習(xí)慣選擇使用第三方代碼編輯器。關(guān)于第三方代碼編輯器其實有很多,大家也可以多嘗試,選擇自己感覺更好用的。而這里我們選擇比較常用的Notepad++和UltraEdit。Notepad++可以在做文檔時比較好的還原代碼的格式與高亮;而UltraEdit具有更強(qiáng)大的代碼編輯功能。他們都支持Verilog-2001語法標(biāo)準(zhǔn)且都可以通過添插件的方式實現(xiàn)模板和編譯代碼檢查語法的功能。

(3)畫圖結(jié)構(gòu)框圖和時序圖軟件

FPGA開發(fā)過程中需要理清設(shè)計思路,往往用畫圖的方法輔助,需要畫圖的地方主要有兩處,一是系統(tǒng)結(jié)構(gòu)框圖,二是信號時序波形圖。作為能同時滿足以上兩種需求的畫圖工具,最合適不過的就是Visio了,而且網(wǎng)友還專門為FPGA開發(fā)設(shè)計波形、邏輯器件、狀態(tài)機(jī)的相關(guān)庫,當(dāng)然還有很多其他好用的小工具用于繪制時序圖(需要的請掃文末二維碼加群獲取)。

二、軟件連接(提取密碼全部為:1234)

(1)ISE_14.7

百度云鏈接:https://pan.baidu.com/s/13qQY-VIQYszRAigAvpG3lA

(2)ISE_14.7(Win10特別版)

百度云鏈接:https://pan.baidu.com/s/1nwyCjzbNDrpdZKoQYVyupQ

(3)Vivado_16.4(Win7穩(wěn)定版)

百度云鏈接:https://pan.baidu.com/s/1tZ7tTmZ8k1r59Wfc7kNXPg

(4)Vivado_18.1

百度云鏈接:https://pan.baidu.com/s/1a5ZQFrdADb8yfUw4QX3brA

(5)Vivado_18.2

百度云鏈接:https://pan.baidu.com/s/17trMwi9q7TZ94xsbPt1shQ

(6)Vivado_18.3(本版本往后開始本支持高分屏)

百度云鏈接:https://pan.baidu.com/s/1UNpOSOE_EUhizogqEMdEQQ

(7)Vivado_19.1(單獨(dú)安裝Vivado軟件帶SDK的最后一個版本)

百度云鏈接:https://pan.baidu.com/s/1kzVE5W-LVegBuU6UAdtCgA

(8)Vitis_19.2(Xilinx全新的免費(fèi)軟件,集成了所以的FPGA開發(fā)工具)

百度云鏈接:https://pan.baidu.com/s/1zLKmyHN7HELFHbRtv2SM7w

(9) ModelSim_SE_10.5

百度云鏈接:https://pan.baidu.com/s/1EKsnojNN3LH4X9EgE9I03A

(10) ModelSim_SE_10.6

百度云鏈接:https://pan.baidu.com/s/14z-DrYtb-_zRTaksxNUMBQ

(11) ModelSim_SE_10.7

百度云鏈接:https://pan.baidu.com/s/1mXWZxoOEjpe_BQRs9KOvgA

(12) QuartusII_13.0.0.156(經(jīng)典教學(xué)初學(xué)版)

百度云鏈接:https://pan.baidu.com/s/17tSthnTTdJJIHpKE3NYHrg

(13) QuartusII_13.1

百度云鏈接:https://pan.baidu.com/s/1mCw_xgEoeUVQeUF9iE5y1w

(14) Quartus_Prime_15.1(Altera被Intel收購后首發(fā))

百度云鏈接:https://pan.baidu.com/s/1xQ7GX8V2ylfNGLEkBtP3aQ

(15) Quartus_Prime_19.1

百度云鏈接:https://pan.baidu.com/s/1uu8eOwzjgNFTNRAj2QVoGA

(16) Diamond_3.8

百度云鏈接:https://pan.baidu.com/s/1hxaIB6mlYRzsEMXLd4AIzw

(17) Diamond_3.10

百度云鏈接:https://pan.baidu.com/s/1PrUskkBPTFpwX2cdBabfng

(18) Notepad++_7.6.6

百度云鏈接:https://pan.baidu.com/s/18JcOoXTN02qhj5xOsUcnVQ

(19) Notepad++_7.8.1

百度云鏈接:https://pan.baidu.com/s/1BFE7qDQSj8gZXZwEDGvGbQ

(20) UltraEdit(綠色版)

百度云鏈接:https://pan.baidu.com/s/1ErkZK7vViRQFAaDQNoatiw

(21) UltraEdit(安裝版)

百度云鏈接:https://pan.baidu.com/s/1pbL5MaO2SqLVsJYmChOhPA

(22) Visio_2013

百度云鏈接:https://pan.baidu.com/s/10NdVd79GNzcv7baAbn4ebQ

六、總結(jié)

以上推薦都是參考性意見,具體如何選擇還要根據(jù)個人習(xí)慣、功能需求來具體選取更加合適自己的搭配。以上所有搭配都是經(jīng)過本人及群友進(jìn)行驗證過的,如有描述不當(dāng)?shù)牡胤綒g迎加群指正。后面軟件如果有新版本發(fā)布,我們會繼續(xù)為

大家更新,所有軟件都作為學(xué)習(xí)使用,不作為商業(yè)目的,如果商用推薦獲取正版軟件授權(quán)許可。

FPGA設(shè)計廠商還有很多其他公司,也包括國產(chǎn)的FPGA,但由于使用者不是很多,作為學(xué)習(xí)還是推薦使用參考資料多的平臺,所以這里不再單獨(dú)列舉介紹。

責(zé)任編輯:xj

原文標(biāo)題:FPGA開發(fā)工具套餐搭配推薦及軟件鏈接(工程師們轉(zhuǎn)起來)

文章出處:【微信公眾號:FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21568

    瀏覽量

    600608
  • Xilinx
    +關(guān)注

    關(guān)注

    71

    文章

    2147

    瀏覽量

    120716

原文標(biāo)題:FPGA開發(fā)工具套餐搭配推薦及軟件鏈接(工程師們轉(zhuǎn)起來)

文章出處:【微信號:zhuyandz,微信公眾號:FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    Altera推出一系列FPGA軟、硬件和開發(fā)工具

    近期,英特爾子公司Altera推出了一系列FPGA軟、硬件和開發(fā)工具,使其可編程解決方案更易應(yīng)用于廣泛的用例和市場。Altera在年度開發(fā)者大會上公布了下一代能效與成本優(yōu)化的Agilex 3
    的頭像 發(fā)表于 10-12 10:47 ?322次閱讀

    瑞星微刷機(jī)工具開發(fā)工具

    瑞星微刷機(jī)工具開發(fā)工具
    發(fā)表于 10-09 11:14 ?0次下載

    萊迪思Propel工具套件加速FPGA應(yīng)用開發(fā)

    許多嵌入式系統(tǒng)的開發(fā)者都對使用基于FPGA的SoC系統(tǒng)感興趣,但是基于傳統(tǒng)HDL硬件描述語言的FPGA開發(fā)工具和復(fù)雜流程往往會令他們望而卻步。為了解決這一問題,萊迪思的Propel
    的頭像 發(fā)表于 08-30 17:23 ?943次閱讀

    FPGA開發(fā)工具

    學(xué)習(xí)開發(fā)FPGA,需要預(yù)先準(zhǔn)備好的工具嗎?比如示波器‘邏輯分析儀之類的。畢竟側(cè)重于硬件方面的處理。不知道和單片機(jī)開發(fā)有多少不同,和需要注意的地方。
    發(fā)表于 07-29 22:04

    FPGA的學(xué)習(xí)筆記---FPGA開發(fā)流程

    吧。 1、設(shè)計初期,要考慮的是需要多少邏輯資源、IO口、信號電平、功耗,如何劃分模塊等。 2、確定了1中的需求后,就要根據(jù)原理圖,進(jìn)行底層的設(shè)計輸入工作。整個設(shè)計工作,需要開發(fā)工具以及仿真軟件,檢查
    發(fā)表于 06-23 14:47

    Diamond開發(fā)工具使用說明

    Diamond開發(fā)工具使用說明
    發(fā)表于 05-23 09:14 ?0次下載

    fpga開發(fā)一般用什么軟件

    此外,還有一些其他的輔助工具,如用于數(shù)字信號處理開發(fā)的System Generator,以及用于HDL語言仿真的ModelSim等。這些工具可以配合上述的主要
    的頭像 發(fā)表于 03-27 14:54 ?3272次閱讀

    fpga開發(fā)一般用什么軟件

    FPGA(現(xiàn)場可編程門陣列)開發(fā)通常使用一系列專門的軟件工具,這些工具涵蓋了從設(shè)計、仿真到編譯和調(diào)試的整個流程。
    的頭像 發(fā)表于 03-15 14:43 ?3094次閱讀

    fpga開發(fā)板是什么?fpga開發(fā)板有哪些?

    FPGA開發(fā)板是一種基于FPGA(現(xiàn)場可編程門陣列)技術(shù)的開發(fā)平臺,它允許工程師通過編程來定義和配置FPGA芯片上的邏輯電路,以實現(xiàn)各種數(shù)字
    的頭像 發(fā)表于 03-14 18:20 ?1672次閱讀

    蘋果準(zhǔn)備推出AI軟件開發(fā)工具

    近日,有知情人士透露,蘋果公司正在全力加碼人工智能,準(zhǔn)備推出一款新的軟件開發(fā)工具。這款工具將利用生成式人工智能技術(shù),幫助開發(fā)者自動完成編碼工作,預(yù)計將加劇與微軟在人工智能方面的競爭。
    的頭像 發(fā)表于 02-20 14:35 ?788次閱讀

    配置Ubuntu系統(tǒng)環(huán)境和安裝的開發(fā)工具

    安裝好Ubuntu系統(tǒng)環(huán)境后,開發(fā)前需要簡單配置Ubuntu系統(tǒng)環(huán)境以及安裝一些必備的開發(fā)工具。
    的頭像 發(fā)表于 12-22 13:32 ?1566次閱讀
    配置Ubuntu系統(tǒng)環(huán)境和安裝的<b class='flag-5'>開發(fā)工具</b>

    最新發(fā)布GSDK軟件開發(fā)工具包4.4.0版本,提高IoT無線設(shè)計效率

    SiliconLabs (亦稱 “ 芯科科技 ” )日前發(fā)布了 Gecko 軟件開發(fā)工具包( GSDK )的最新版本 4.4.0 。新釋出的 GSDK 是全球物聯(lián)網(wǎng)開發(fā)人員最關(guān)注物聯(lián)網(wǎng)的軟件開發(fā)平臺
    的頭像 發(fā)表于 12-18 16:40 ?522次閱讀
    最新發(fā)布GSDK<b class='flag-5'>軟件開發(fā)工具</b>包4.4.0版本,提高IoT無線設(shè)計效率

    CodeArts五年磨一劍,深耕信創(chuàng)軟件開發(fā)工具

    在今年的QCon全球軟件開發(fā)大會(上海站),華為云開發(fā)工具和效率領(lǐng)域首席專家、華為軟件開發(fā)生產(chǎn)線 CodeArts首席技術(shù)總監(jiān)王亞偉作為「智能化信創(chuàng)軟件IDE」專題的出品人,帶領(lǐng)他的專
    的頭像 發(fā)表于 12-15 15:55 ?855次閱讀
    CodeArts五年磨一劍,深耕信創(chuàng)<b class='flag-5'>軟件開發(fā)工具</b>

    開發(fā)出商用的RISC-V處理器還需要哪些開發(fā)工具和環(huán)境?

    開發(fā)出商用的RISC-V處理器還需要哪些開發(fā)工具和環(huán)境? 處理器是軟硬件的交匯點,所以必須有完善的編譯器、開發(fā)工具軟件開發(fā)環(huán)境(IDE),處理器內(nèi)核才能夠被用戶順利使用起來。目前RI
    發(fā)表于 11-18 06:05

    開發(fā)板和開發(fā)工具指南

    與使用開發(fā)環(huán)境相比,許多工程師更傾向于將調(diào)試點嵌入其代碼并使用測試設(shè)備來驗證其硬件。歐時電子指南將詳述開發(fā)板和開發(fā)工具的優(yōu)勢,并提供關(guān)于使用和選擇恰當(dāng)開發(fā)工具的實用建議。
    的頭像 發(fā)表于 10-26 14:35 ?537次閱讀