0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

ASML預(yù)計(jì)今年將出貨交付40臺(tái)EUV光刻機(jī) 單價(jià)14億元!

工程師鄧生 ? 來(lái)源:快科技 ? 作者:萬(wàn)南 ? 2021-01-21 15:30 ? 次閱讀

在四季度財(cái)報(bào)會(huì)議上,荷蘭ASML(阿斯麥)表示,預(yù)計(jì)今年將出貨交付40臺(tái)EUV光刻機(jī),比去年多9臺(tái)。

CEO Peter Wennink估算今年EUV光刻機(jī)系統(tǒng)的銷(xiāo)售收入在58億歐元左右。四季度,ASML手里未出貨的訂單價(jià)值42億歐元,其中包括價(jià)值11億歐元的6套EUV設(shè)備,大概每臺(tái)單價(jià)是1.83歐元(約合14億元),比此前1.2億歐貴了。即便如此,對(duì)于三星、臺(tái)積電、Intel來(lái)說(shuō)仍供不應(yīng)求,誰(shuí)讓EUV光刻機(jī)ASML獨(dú)一份呢……

Wennink還表示將推進(jìn)0.33NA的EUV設(shè)備應(yīng)用,以滿足客戶(hù)的節(jié)點(diǎn)需求(5nm、7nm等)。

據(jù)悉,ASML四季度凈銷(xiāo)售額為43億歐元,凈利潤(rùn)為14億歐元。2020年全年凈銷(xiāo)售額為140億歐元,毛利率達(dá)到48.6%,凈利潤(rùn)為36億歐元。

此前資料稱(chēng),ASML定于今年中旬交付最新一代EUV光刻機(jī)TWINSCAN NXE:3600D,生產(chǎn)效率提升18%、機(jī)器匹配套準(zhǔn)精度改進(jìn)為1.1nm。

責(zé)任編輯:PSY

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 設(shè)備
    +關(guān)注

    關(guān)注

    2

    文章

    4420

    瀏覽量

    70458
  • ASML
    +關(guān)注

    關(guān)注

    7

    文章

    714

    瀏覽量

    41106
  • EUV光刻機(jī)
    +關(guān)注

    關(guān)注

    2

    文章

    128

    瀏覽量

    15075
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    光刻機(jī)巨頭ASML業(yè)績(jī)暴雷,芯片迎來(lái)新一輪“寒流”?

    電子發(fā)燒友網(wǎng)報(bào)道(文/黃山明)作為芯片制造過(guò)程中的核心設(shè)備,光刻機(jī)決定著芯片工藝的制程。尤其是EUV光刻機(jī)已經(jīng)成為高端芯片(7nm及以下)芯片量產(chǎn)的關(guān)鍵,但目前EUV
    的頭像 發(fā)表于 10-17 00:13 ?2406次閱讀

    ASML擬于2030年推出Hyper-NA EUV光刻機(jī),將芯片密度限制再縮小

    ASML再度宣布新光刻機(jī)計(jì)劃。據(jù)報(bào)道,ASML預(yù)計(jì)2030年推出的Hyper-NA極紫外光機(jī)EUV
    的頭像 發(fā)表于 06-18 09:57 ?395次閱讀

    今日看點(diǎn)丨ASML今年將向臺(tái)積電、三星和英特爾交付High-NA EUV;理想 L9 出事故司機(jī)質(zhì)疑 LCC,產(chǎn)品經(jīng)理回應(yīng)

    1. ASML 今年將向臺(tái)積電、三星和英特爾交付High-NA EUV ? 根據(jù)報(bào)道,芯片制造設(shè)備商AS
    發(fā)表于 06-06 11:09 ?787次閱讀

    后門(mén)!ASML可遠(yuǎn)程鎖光刻機(jī)!

    來(lái)源:國(guó)芯網(wǎng),謝謝 編輯:感知芯視界 Link 5月22日消息,據(jù)外媒報(bào)道,臺(tái)積電從ASML購(gòu)買(mǎi)的EUV極紫外光刻機(jī),暗藏后門(mén),可以在必要的時(shí)候執(zhí)行遠(yuǎn)程鎖定! 據(jù)《聯(lián)合早報(bào)》報(bào)道,荷蘭
    的頭像 發(fā)表于 05-24 09:35 ?451次閱讀

    荷蘭阿斯麥稱(chēng)可遠(yuǎn)程癱瘓臺(tái)積電光刻機(jī)

    disable)臺(tái)積電相應(yīng)機(jī)器,而且還可以包括最先進(jìn)的極紫外光刻機(jī)EUV)。 這就意味著阿斯麥(ASML)留了后門(mén),隨時(shí)有能力去遠(yuǎn)程癱瘓制造芯片的
    的頭像 發(fā)表于 05-22 11:29 ?5679次閱讀

    臺(tái)積電A16制程采用EUV光刻機(jī),2026年下半年量產(chǎn)

    據(jù)臺(tái)灣業(yè)內(nèi)人士透露,臺(tái)積電并未為A16制程配備高數(shù)值孔徑(High-NA)EUV光刻機(jī),而選擇利用現(xiàn)有的EUV光刻機(jī)進(jìn)行生產(chǎn)。相較之下,英特
    的頭像 發(fā)表于 05-17 17:21 ?808次閱讀

    臺(tái)積電張曉強(qiáng):ASML High-NA EUV成本效益是關(guān)鍵

    據(jù)今年2月份報(bào)道,荷蘭半導(dǎo)體制造設(shè)備巨頭ASML公布了High-NA Twinscan EXE光刻機(jī)的售價(jià),高達(dá)3.5歐元(約合27.16億元
    的頭像 發(fā)表于 05-15 14:42 ?544次閱讀

    ASML發(fā)貨第二臺(tái)High NA EUV光刻機(jī),已成功印刷10nm線寬圖案

    ASML公司近日宣布發(fā)貨了第二臺(tái)High NA EUV光刻機(jī),并且已成功印刷出10納米線寬圖案,這一重大突破標(biāo)志著半導(dǎo)體制造領(lǐng)域的技術(shù)革新向前邁進(jìn)了一大步。
    的頭像 發(fā)表于 04-29 10:44 ?721次閱讀

    光刻機(jī)巨頭阿斯麥業(yè)績(jī)爆雷 ASML公司一季度訂單下滑

    3855美元,換算下來(lái)折合人民幣約2.74萬(wàn)億元。 根據(jù)阿斯麥公布的2024年第一季度財(cái)報(bào)統(tǒng)計(jì)數(shù)據(jù)顯示: 阿斯麥在今年一季度售出的全新光刻系統(tǒng)66
    的頭像 發(fā)表于 04-18 16:43 ?1136次閱讀

    ASML 首臺(tái)新款 EUV 光刻機(jī) Twinscan NXE:3800E 完成安裝

    3 月 13 日消息,光刻機(jī)制造商 ASML 宣布其首臺(tái)新款 EUV 光刻機(jī) Twinscan NXE:3800E 已完成安裝,新機(jī)型將帶來(lái)更高的生產(chǎn)效率。 ▲
    的頭像 發(fā)表于 03-14 08:42 ?473次閱讀
    <b class='flag-5'>ASML</b> 首臺(tái)新款 <b class='flag-5'>EUV</b> <b class='flag-5'>光刻機(jī)</b> Twinscan NXE:3800E 完成安裝

    三星清空ASML股份,11年盈利超16倍

    根據(jù)資料顯示,在2012年,為了支持ASML EUV光刻機(jī)的研發(fā)與商用,并獲得EUV光刻機(jī)的優(yōu)先供應(yīng),在2012年,英特爾、
    的頭像 發(fā)表于 02-23 17:27 ?923次閱讀

    佳能預(yù)計(jì)到2024年出貨納米壓印光刻機(jī)

    來(lái)源:DIGITIMES ASIA 佳能預(yù)計(jì)其納米壓印光刻機(jī)將于今年出貨,與ASML的EVU設(shè)備競(jìng)爭(zhēng)市場(chǎng),因?yàn)槭澜绺鞯氐慕?jīng)濟(jì)體都熱衷于擴(kuò)大其
    的頭像 發(fā)表于 02-01 15:42 ?802次閱讀
    佳能<b class='flag-5'>預(yù)計(jì)</b>到2024年<b class='flag-5'>出貨</b>納米壓印<b class='flag-5'>光刻機(jī)</b>

    英特爾搶下6種ASML HIGH NA光刻機(jī)

    如果我們假設(shè)光刻機(jī)成本為 3.5 至 4 美元,并且 2024 年 10 個(gè)光刻機(jī)的HIGH NA 銷(xiāo)售額將在 35
    的頭像 發(fā)表于 12-28 11:31 ?784次閱讀

    三星希望進(jìn)口更多ASML EUV***,5年內(nèi)新增50臺(tái)

    EUV曝光是先進(jìn)制程芯片制造中最重要的部分,占據(jù)總時(shí)間、總成本的一半以上。由于這種光刻機(jī)極為復(fù)雜,因此ASML每年只能制造約60臺(tái),而全球5家芯片制造商都依賴(lài)
    的頭像 發(fā)表于 11-22 16:46 ?673次閱讀

    今日看點(diǎn)丨驍龍 7 Gen 3 測(cè)試版規(guī)格曝光;消息稱(chēng)三星將投資 10 萬(wàn)億韓元用于半導(dǎo)體設(shè)備,大量采購(gòu) ASML EUV

    1. 消息稱(chēng)三星將投資 10 萬(wàn)億韓元用于半導(dǎo)體設(shè)備,大量采購(gòu) ASML EUV 光刻機(jī) ? 據(jù)報(bào)道稱(chēng),三星計(jì)劃進(jìn)口更多 ASML 極紫外(EUV
    發(fā)表于 11-15 09:59 ?812次閱讀