0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

如何使用FPGA內(nèi)部的RAM以及程序?qū)υ揜AM的數(shù)據(jù)讀寫操作

電子設(shè)計(jì) ? 來(lái)源:電子設(shè)計(jì) ? 作者:電子設(shè)計(jì) ? 2022-02-08 15:50 ? 次閱讀

作者: ALINX

適用于板卡型號(hào):

AXU2CGA/AXU2CGB/AXU3EG/AXU4EV-E/AXU4EV-P/AXU5EV-E/AXU5EV-P /AXU9EG/AXU15EG

實(shí)驗(yàn)Vivado工程為“ram_test”。

RAM是FPGA中常用的基礎(chǔ)模塊,可廣泛用于緩存數(shù)據(jù)的情況,同樣它也是ROM,F(xiàn)IFO的基礎(chǔ)。本實(shí)驗(yàn)將為大家介紹如何使用FPGA內(nèi)部的RAM以及程序?qū)υ揜AM的數(shù)據(jù)讀寫操作。

1.實(shí)驗(yàn)原理

Xilinx在VIVADO里為我們已經(jīng)提供了RAM的IP核, 我們只需通過(guò)IP核例化一個(gè)RAM,根據(jù)RAM的讀寫時(shí)序來(lái)寫入和讀取RAM中存儲(chǔ)的數(shù)據(jù)。實(shí)驗(yàn)中會(huì)通過(guò)VIVADO集成的在線邏輯分析儀ila,我們可以觀察RAM的讀寫時(shí)序和從RAM中讀取的數(shù)據(jù)。

2.創(chuàng)建Vivado工程

在添加RAM IP之前先新建一個(gè)ram_test的工程, 然后在工程中添加RAM IP,方法如下:

2.1 點(diǎn)擊下圖中IP Catalog,在右側(cè)彈出的界面中搜索ram,找到Block Memory Generator,雙擊打開(kāi)。

pIYBAGAJnVSAeGXsAACSoVXg6Dw420.jpg

2.2 將Component Name改為ram_ip,在Basic欄目下,將Memory Type改為Simple Dual Prot RAM,也就是偽雙口RAM。一般來(lái)講“Simple Dual Port RAM”是最常用的,因?yàn)樗莾蓚€(gè)端口,輸入和輸出信號(hào)獨(dú)立。

pIYBAGAJnZuAVsL5AABpBCf6DhU423.jpg

2.3 切換到Port A Options欄目下,將RAM位寬Port A Width改為16,也就是數(shù)據(jù)寬度。將RAM深度Port A Depth改為512,深度指的是RAM里可以存放多少個(gè)數(shù)據(jù)。使能管腳Enable Port Type改為Always Enable。

pIYBAGAJndmAXtQeAABgeo57cv8714.jpg

2.4 切換到Port B Options欄目下,將RAM位寬Port B Width改為16,使能管腳Enable Port Type改為Always Enable,當(dāng)然也可以Use ENB Pin,相當(dāng)于讀使能信號(hào)。而Primitives Output Register取消勾選,其功能是在輸出數(shù)據(jù)加上寄存器,可以有效改善時(shí)序,但讀出的數(shù)據(jù)會(huì)落后地址兩個(gè)周期。很多情況下,不使能這項(xiàng)功能,保持?jǐn)?shù)據(jù)落后地址一個(gè)周期。

o4YBAGAJnhiAEL0PAABmHquA1Ig967.jpg

2.5 在Other Options欄目中,這里不像ROM那樣需要初始化RAM的數(shù)據(jù),我們可以在程序中寫入,所以配置默認(rèn)即可,直接點(diǎn)擊OK。

2.6 點(diǎn)擊“Generate”生成RAM IP。

o4YBAGAJnpqAFxmeAABUFHhi0Pg928.jpg

3. RAM的端口定義和時(shí)序

Simple Dual Port RAM 模塊端口的說(shuō)明如下:

o4YBAGAJntiAYfwHAAArNPYwkKo166.png

RAM的數(shù)據(jù)寫入和讀出都是按時(shí)鐘的上升沿操作的,端口A數(shù)據(jù)寫入的時(shí)候需要置高wea信號(hào),同時(shí)提供地址和要寫入的數(shù)據(jù)。下圖為輸入寫入到RAM的時(shí)序圖。

pIYBAGAJnxaAbe8SAABg5Avs_LU169.jpg

而端口B是不能寫入數(shù)據(jù)的,只能從RAM中讀出數(shù)據(jù),只要提供地址就可以了,一般情況下可以在下一個(gè)周期采集到有效的數(shù)據(jù)。

pIYBAGAJn1SAGauYAABXxpG3o8s362.jpg

RAM讀時(shí)序

4. 測(cè)試程序編寫

下面進(jìn)行RAM的測(cè)試程序的編寫,由于測(cè)試RAM的功能,我們向RAM的端口A寫入一串連續(xù)的數(shù)據(jù),只寫一次,并從端口B中讀出,使用邏輯分析儀查看數(shù)據(jù)。代碼如下

`timescale1ns/1ps ////////////////////////////////////////////////////////////////////////////////// module ram_test( input clk, //25MHz時(shí)鐘 input rst_n //復(fù)位信號(hào),低電平有效 ); //----------------------------------------------------------- reg [8:0] w_addr; //RAM PORTA寫地址 reg [15:0] w_data; //RAM PORTA寫數(shù)據(jù) reg wea; //RAM PORTA使能 reg [8:0] r_addr; //RAM PORTB讀地址 wire [15:0] r_data; //RAM PORTB讀數(shù)據(jù) //產(chǎn)生RAM PORTB讀地址 always@(posedge clk ornegedge rst_n) begin if(!rst_n) r_addr 《=9‘d0; elseif(|w_addr) //w_addr位或,不等于0 r_addr 《= r_addr+1’b1; else r_addr 《=9‘d0; end //產(chǎn)生RAM PORTA寫使能信號(hào) always@(posedge clk ornegedge rst_n) begin if(!rst_n) wea 《=#11’b0; else begin if(&w_addr)//w_addr的bit位全為1,共寫入512個(gè)數(shù)據(jù),寫入完成 wea 《=#11‘b0; else wea 《=#11’b1;//ram寫使能 end end //產(chǎn)生RAM PORTA寫入的地址及數(shù)據(jù) always@(posedge clk ornegedge rst_n) begin if(!rst_n) begin w_addr 《=9‘d0; w_data 《=16’d1; end else begin if(wea) //ram寫使能有效 begin if(&w_addr)//w_addr的bit位全為1,共寫入512個(gè)數(shù)據(jù),寫入完成 begin w_addr 《= w_addr ;//將地址和數(shù)據(jù)的值保持住,只寫一次RAM w_data 《= w_data ; end else begin w_addr 《= w_addr +1‘b1; w_data 《= w_data +1’b1; end end end end //----------------------------------------------------------- //實(shí)例化RAM ram_ip ram_ip_inst ( .clka (clk ),// input clka .wea (wea ),// input [0 : 0] wea .addra (w_addr ),// input [8 : 0] addra .dina (w_data ),// input [15 : 0] dina .clkb (clk ),// input clkb .addrb (r_addr ),// input [8 : 0] addrb .doutb (r_data )// output [15 : 0] doutb ); //實(shí)例化ila邏輯分析儀 ila_0 ila_0_inst ( .clk (clk ), .probe0 (r_data ), .probe1 (r_addr ) ); endmodule

為了能實(shí)時(shí)看到RAM中讀取的數(shù)據(jù)值,我們這里添加了ila工具來(lái)觀察RAM PORTB的數(shù)據(jù)信號(hào)和地址信號(hào)。關(guān)于如何生成ila大家請(qǐng)參考”PL的”Hello World”LED實(shí)驗(yàn)”。

o4YBAGAJn5KANr5FAAAfFZ8Eu00006.jpg

程序結(jié)構(gòu)如下:

o4YBAGAJn9CAEDn5AAA8UDCQZl0058.jpg

綁定引腳

##################Compress Bitstream############################

set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]set_property PACKAGE_PIN AB11 [get_ports clk]set_property IOSTANDARD LVCMOS33 [get_ports clk]create_clock -period 40.000 -name clk -waveform {0.000 20.000} [get_ports clk]set_property PACKAGE_PIN AA13 [get_ports rst_n]set_property IOSTANDARD LVCMOS33 [get_ports rst_n]

5. 仿真

仿真方法參考”PL的”Hello World”LED實(shí)驗(yàn)”,仿真結(jié)果如下,從圖中可以看出地址1寫入的數(shù)據(jù)是0002,在下個(gè)周期,也就是時(shí)刻2,有效數(shù)據(jù)讀出。

6. 板上驗(yàn)證

生成bitstream,并下載bit文件到FPGA。接下來(lái)我們通過(guò)ila來(lái)觀察一下從RAM中讀出的數(shù)據(jù)是否為我們初始化的數(shù)據(jù)。

在Waveform的窗口設(shè)置r_addr地址為0作為觸發(fā)條件,我們可以看到r_addr在不斷的從0累加到1ff, 隨著r_addr的變化, r_data也在變化, r_data的數(shù)據(jù)正是我們寫入到RAM中的512個(gè)數(shù)據(jù),這里需要注意,r_addr出現(xiàn)新地址時(shí),r_data對(duì)應(yīng)的數(shù)據(jù)要延時(shí)兩個(gè)時(shí)鐘周期才會(huì)出現(xiàn),數(shù)據(jù)比地址出現(xiàn)晚兩個(gè)時(shí)鐘周期,與仿真結(jié)果一致。

審核編輯:何安

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • RAM
    RAM
    +關(guān)注

    關(guān)注

    8

    文章

    1350

    瀏覽量

    114370
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    ram和eeprom各有什么特點(diǎn)

    可擦可編程只讀存儲(chǔ)器)是兩種不同類型的存儲(chǔ)器,它們各自具有獨(dú)特的特點(diǎn)。 RAM的特點(diǎn) 隨機(jī)訪問(wèn)能力 :RAM具有隨機(jī)訪問(wèn)的能力,意味著它可以直接訪問(wèn)任何存儲(chǔ)單元,而不需要按照順序進(jìn)行讀寫操作
    的頭像 發(fā)表于 09-18 11:11 ?367次閱讀

    ram存儲(chǔ)的數(shù)據(jù)在斷電后會(huì)丟失嗎

    RAM(Random Access Memory,隨機(jī)存取存儲(chǔ)器)是一種計(jì)算機(jī)存儲(chǔ)設(shè)備,用于存儲(chǔ)計(jì)算機(jī)運(yùn)行時(shí)所需的數(shù)據(jù)和指令。與硬盤、固態(tài)硬盤等永久性存儲(chǔ)設(shè)備不同,RAM是一種易失性存儲(chǔ)器,即在斷電
    的頭像 發(fā)表于 08-06 09:19 ?994次閱讀

    rom和ram都是內(nèi)存儲(chǔ)器嗎

    存儲(chǔ)器,其內(nèi)部存儲(chǔ)的數(shù)據(jù)在斷電后會(huì)丟失。RAM主要用于存儲(chǔ)計(jì)算機(jī)運(yùn)行時(shí)所需的臨時(shí)數(shù)據(jù)程序,如操作
    的頭像 發(fā)表于 08-06 09:09 ?407次閱讀

    只讀存儲(chǔ)器(ROM)和隨機(jī)讀寫存儲(chǔ)器(RAM)的區(qū)別

    在計(jì)算機(jī)系統(tǒng)中,存儲(chǔ)器是不可或缺的組成部分,它負(fù)責(zé)存儲(chǔ)程序數(shù)據(jù)以供處理器使用。其中,只讀存儲(chǔ)器(ROM)和隨機(jī)讀寫存儲(chǔ)器(RAM)是兩種常見(jiàn)的存儲(chǔ)器類型,它們?cè)谟?jì)算機(jī)系統(tǒng)中各自扮演著
    的頭像 發(fā)表于 05-12 17:04 ?2717次閱讀

    fpga雙口ram的使用

    FPGA雙口RAM的使用主要涉及配置和使用雙端口RAM模塊。雙端口RAM的特點(diǎn)是有兩組獨(dú)立的端口,可以對(duì)同一存儲(chǔ)塊進(jìn)行讀寫
    的頭像 發(fā)表于 03-15 13:58 ?838次閱讀

    使用分散加載將部分程序放到RAMRAM掉電后數(shù)據(jù)就沒(méi)有了,如何復(fù)原?

    我使用分散加載將部分程序放到RAM,RAM掉電后數(shù)據(jù)就沒(méi)有了,重新上電后,芯片是如何將RAM區(qū)程序
    發(fā)表于 03-06 07:01

    淺析RAM存儲(chǔ)器內(nèi)部結(jié)構(gòu)圖

    在計(jì)算機(jī)中,CPU需要定期地從 RAM 存儲(chǔ)器中讀取數(shù)據(jù)和指令。隨著計(jì)算機(jī)應(yīng)用程序的不斷發(fā)展,RAM 存儲(chǔ)器的容量和速度不斷提高,以適應(yīng)計(jì)算機(jī)系統(tǒng)的需要。
    的頭像 發(fā)表于 03-04 17:30 ?1838次閱讀
    淺析<b class='flag-5'>RAM</b>存儲(chǔ)器<b class='flag-5'>內(nèi)部</b>結(jié)構(gòu)圖

    IC設(shè)計(jì):ram的折疊設(shè)計(jì)操作步驟

    在IC設(shè)計(jì)中,我們有時(shí)會(huì)使用深度很大,位寬很小的ram。例如深度為1024,位寬為4bit的ram。
    的頭像 發(fā)表于 03-04 15:08 ?2053次閱讀
    IC設(shè)計(jì):<b class='flag-5'>ram</b>的折疊設(shè)計(jì)<b class='flag-5'>操作</b>步驟

    ram內(nèi)部存儲(chǔ)器電路組成

    ram在計(jì)算機(jī)和數(shù)字系統(tǒng)中用來(lái)暫時(shí)存儲(chǔ)程序、數(shù)據(jù)和中間結(jié)果。隨機(jī)存取存儲(chǔ)器(ram)既可向指定單元存入信息又可從指定單元讀出信息。
    的頭像 發(fā)表于 02-19 11:23 ?1596次閱讀
    <b class='flag-5'>ram</b><b class='flag-5'>內(nèi)部</b>存儲(chǔ)器電路組成

    ram和rom的作用和區(qū)別是什么

    詳細(xì)探討RAM和ROM的作用和區(qū)別。 一、RAM的作用: 臨時(shí)存儲(chǔ):RAM被用作臨時(shí)存儲(chǔ)器,用于計(jì)算機(jī)處理數(shù)據(jù)程序時(shí)的工作區(qū)域。當(dāng)計(jì)算機(jī)啟
    的頭像 發(fā)表于 02-04 17:05 ?4023次閱讀

    RAM和ROM的區(qū)別,哪個(gè)與CPU連接

    數(shù)據(jù)和指令,而ROM則用于存儲(chǔ)計(jì)算機(jī)的基本操作系統(tǒng)和啟動(dòng)程序。本文將探討RAM和ROM的區(qū)別,以及它們與CPU之間的連接方式。 首先,我們來(lái)
    的頭像 發(fā)表于 01-31 14:14 ?1995次閱讀

    ram中存儲(chǔ)的數(shù)據(jù)在斷電后是否會(huì)丟失?

    篇文章中將詳細(xì)討論RAM的工作原理以及為什么它會(huì)丟失數(shù)據(jù)。 一、RAM的工作原理 隨機(jī)存取存儲(chǔ)器(RAM)是一種常見(jiàn)的計(jì)算機(jī)內(nèi)存類型,用于臨
    的頭像 發(fā)表于 01-16 16:30 ?7189次閱讀

    一文介紹ram的結(jié)構(gòu)和讀寫過(guò)程

    ram也叫主存,是與CPU直接交換數(shù)據(jù)內(nèi)部存儲(chǔ)器。它可以隨時(shí)讀寫(刷新時(shí)除外)且速度很快,通常作為操作系統(tǒng)或其他正在運(yùn)行中的
    發(fā)表于 01-09 11:11 ?2751次閱讀
    一文介紹<b class='flag-5'>ram</b>的結(jié)構(gòu)和<b class='flag-5'>讀寫</b>過(guò)程

    FPGA中塊RAM的分布和特性

    在選擇FPGA時(shí),關(guān)注LUT(Look-Up Table)和BRAM(Block RAM)是非常重要的,因?yàn)樗鼈兪?b class='flag-5'>FPGA架構(gòu)中的兩個(gè)核心資源,對(duì)于設(shè)計(jì)的性能和資源利用至關(guān)重要。
    的頭像 發(fā)表于 11-21 15:03 ?1885次閱讀
    <b class='flag-5'>FPGA</b>中塊<b class='flag-5'>RAM</b>的分布和特性

    IC設(shè)計(jì)中關(guān)于ram的應(yīng)用

    統(tǒng)計(jì)有效數(shù)據(jù)包的個(gè)數(shù)。 假設(shè)數(shù)據(jù)中存在pkt_id,pkt_id為0~63,則ram的深度為64。pkt_id用于作為讀寫地址。RAM讀延時(shí)
    的頭像 發(fā)表于 11-17 17:36 ?558次閱讀
    IC設(shè)計(jì)中關(guān)于<b class='flag-5'>ram</b>的應(yīng)用