0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

【紫光同創(chuàng)國產(chǎn)FPGA教程】【第十七章】AD實(shí)驗(yàn)之AD9238波形顯示

FPGA技術(shù)專欄 ? 來源:芯驛電子科技 ? 作者:芯驛電子科技 ? 2021-02-24 14:47 ? 次閱讀

原創(chuàng)教程由芯驛電子科技(上海)有限公司(ALINX)創(chuàng)作,版權(quán)歸本公司所有,如需轉(zhuǎn)載,需授權(quán)并注明出處(alinx.com)。

適用于板卡型號:

PGL22G/PGL12G

1. 實(shí)驗(yàn)簡介

本實(shí)驗(yàn)練習(xí)使用ADC,實(shí)驗(yàn)中使用的ADC模塊型號為AN9238,最大采樣率65Mhz,精度為12位。實(shí)驗(yàn)中把AN9238的2路輸入以波形方式在HDMI上顯示出來,我們可以用更加直觀的方式觀察波形,是一個(gè)數(shù)字示波器雛形。

AN9238雙路65M采樣12位ADC模塊實(shí)驗(yàn)預(yù)期結(jié)果

2. 實(shí)驗(yàn)原理

黑金高速AD模塊AN9238為2路65MSPS, 12位的模擬信號轉(zhuǎn)數(shù)字信號模塊。模塊的AD轉(zhuǎn)換采用了ADI公司的AD9238芯片, AD9238芯片支持2路AD輸入轉(zhuǎn)換,所以1片AD9238芯片一共支持2路的AD輸入轉(zhuǎn)換。模擬信號輸入支持單端模擬信號輸入,輸入電壓范圍為-5V~+5V,接口為SMA插座。

AN9238模塊的原理設(shè)計(jì)框圖如下:

o4YBAGA19o-AOWrMAABwValxKzA421.jpg

關(guān)于AD9238的電路具體參考設(shè)計(jì)請參考AD9238的芯片手冊。

2.1 單端輸入及運(yùn)放電路

單端輸入AD1和AD2通過J5或者J6兩個(gè)SMA頭輸入,單端輸入的電壓為-5V~+5V。

板上通過運(yùn)放AD8065芯片和分壓電阻把-5V~+5V輸入的電壓縮小成-1V~+1V。如果用戶想輸入更寬范圍的電壓輸入只要修改前端的分壓電阻的阻值。

pIYBAGA19o-AXpG-AAAbmbZaUT8595.jpg

下表為模擬輸入信號和AD8065運(yùn)放輸出后的電壓對照表:

AD模擬輸入值 AD8065運(yùn)放輸出
-5V -1V
0V 0V
+5V +1V

2.2 單端轉(zhuǎn)差分及AD轉(zhuǎn)換

-1V~+1V的輸入電壓通過AD8138芯片轉(zhuǎn)換成差分信號(VIN+ ? VIN?), 差分信號的共模電平由AD的CML管腳決定。

o4YBAGA19pCAZGObAAATkYTE5U0161.jpg

下表為模擬輸入信號到AD8138差分輸出后的電壓對照表:

AD模擬輸入值 AD8065運(yùn)放輸出 AD8138差分輸出(VIN+?VIN?)
-5V -1V -1V
0V 0V 0V
+5V +1V +1V

2.3 AD9238轉(zhuǎn)換

默認(rèn)AD是配置成offset binary的,AD轉(zhuǎn)換的值如下圖所示:

pIYBAGA19pCAW6PzAABcaWQ7OV8136.jpg

在模塊電路設(shè)計(jì)中,AD9238的VREF的值為1V,這樣最終的模擬信號輸入和AD轉(zhuǎn)換的數(shù)據(jù)如下:

AD模擬輸入值 AD8055運(yùn)放輸出 AD8138差分輸出(VIN+?VIN?) AD9238數(shù)字輸出
-5V -1V -1V 000000000000
0V 0V 0V 100000000000
+5V +1V +1V 11111111111

從表中我們可以看出,-5V輸入的時(shí)候,AD9238轉(zhuǎn)換的數(shù)字值最小,+5V輸入的時(shí)候,AD9238轉(zhuǎn)換的數(shù)字值最大。

2.4 AN9238數(shù)字輸出時(shí)序

AD9238雙通道AD的數(shù)字輸出為+3.3V的CMOS輸出模式,2路通道(A和B)獨(dú)立的數(shù)據(jù)和時(shí)鐘。AD數(shù)據(jù)在時(shí)鐘的上降沿轉(zhuǎn)換數(shù)據(jù),FPGA端可用AD時(shí)鐘的采樣AD數(shù)據(jù)。

o4YBAGA19pGAFHGQAACOKXLu8-s445.jpg

3. 程序設(shè)計(jì)

本實(shí)驗(yàn)顯示部分是基于前面的已有的實(shí)驗(yàn),在彩條上疊加網(wǎng)格線和波形。

timing_gen_xy模塊完成視頻圖像的坐標(biāo)生成,x坐標(biāo),從左到右增大,y坐標(biāo)從上到下增大。

信號名稱 方向 寬度(bit) 說明
clk in 1 系統(tǒng)時(shí)鐘
rst_n in 1 異步復(fù)位,低電平復(fù)位
i_hs in 1 視頻行同步輸入
i_vs in 1 視頻場同步輸入
i_de in 1 視頻數(shù)據(jù)有效輸入
i_data in 24 視頻數(shù)據(jù)輸入
o_hs out 1 視頻行同步輸出
o_vs out 1 視頻場同步輸出
o_de out 1 視頻數(shù)據(jù)有效輸出
o_data out 24 視頻數(shù)據(jù)輸出
x out 12 坐標(biāo)x輸出
y out 12 坐標(biāo)y輸出

timing_gen_xy模塊端口

grid_display模塊主要完成視頻的網(wǎng)格線疊加,本實(shí)驗(yàn)將彩條視頻輸入,然后疊加一個(gè)網(wǎng)格后輸出,提供給后面的波形顯示模塊使用。

信號名稱 方向 寬度(bit) 說明
pclk in 1 像素時(shí)鐘
rst_n in 1 異步復(fù)位,低電平復(fù)位
i_hs in 1 視頻行同步輸入
i_vs in 1 視頻場同步輸入
i_de in 1 視頻數(shù)據(jù)有效輸入
i_data in 24 視頻數(shù)據(jù)輸入
o_hs out 1 帶網(wǎng)格視頻行同步輸出
o_vs out 1 帶網(wǎng)格視頻場同步輸出
o_de out 1 帶網(wǎng)格視頻數(shù)據(jù)有效輸出
o_data out 24 帶網(wǎng)格視頻數(shù)據(jù)輸出

grid_display模塊端口

wav_display顯示模塊主要是完成波形數(shù)據(jù)的疊加顯示,模塊內(nèi)含有一個(gè)雙口ram,寫端口是由ADC采集模塊寫入,讀端口是顯示模塊。

信號名稱 方向 寬度(bit) 說明
pclk in 1 像素時(shí)鐘
rst_n in 1 異步復(fù)位,低電平復(fù)位
wave_color in 24 波形顏色,rgb
adc_clk in 1 adc模塊時(shí)鐘
adc_buf_wr in 1 adc數(shù)據(jù)寫使能
adc_buf_addr in 12 adc數(shù)據(jù)寫地址
adc_buf_data in 8 adc數(shù)據(jù),無符號數(shù)
i_hs in 1 視頻行同步輸入
i_vs in 1 視頻場同步輸入
i_de in 1 視頻數(shù)據(jù)有效輸入
i_data in 24 視頻數(shù)據(jù)輸入
o_hs out 1 帶網(wǎng)格視頻行同步輸出
o_vs out 1 帶網(wǎng)格視頻場同步輸出
o_de out 1 帶網(wǎng)格視頻數(shù)據(jù)有效輸出
o_data out 24 帶網(wǎng)格視頻數(shù)據(jù)輸出

wav_display模塊端口

ad9226_sample模塊主要完成ad9226輸入數(shù)據(jù)順序調(diào)整,因?yàn)閍d9226輸入的數(shù)據(jù)MSB在最低位。再把有符號數(shù)轉(zhuǎn)換為無符號數(shù),最后位寬轉(zhuǎn)換到8bit。

信號名稱 方向 寬度(bit) 說明
adc_clk in 1 adc系統(tǒng)時(shí)鐘
rst in 1 異步復(fù)位,高復(fù)位
adc_data in 12 ADC數(shù)據(jù)輸入,MSB在最低位,需要倒序
adc_buf_wr out 1 ADC數(shù)據(jù)寫使能
adc_buf_addr out 12 ADC數(shù)據(jù)寫地址
adc_buf_data out 8 無符號8位ADC數(shù)據(jù)

ad9226_sample模塊端口

4. 實(shí)驗(yàn)現(xiàn)象

1)將AN9238模塊插入開發(fā)板的擴(kuò)展口J8,注意1腳對齊,不要插錯(cuò)、插偏,不能帶電操作。

2)連接AN9238的輸入到信號發(fā)生器的輸出,這里使用的是專用屏蔽線,如果使用其他線可能會有較大干擾。

AN9238連接信號源示意圖

3)連接HDMI顯示器,注意:連接的是顯示器,不是筆記本電腦HDMI接口

HDMI接口連接示意圖

4)下載程序,調(diào)節(jié)信號發(fā)生的頻率和幅度,AN9238輸入范圍-5V-5V,為了便于觀察波形數(shù)據(jù),建議信號輸入頻率200Khz到1Mhz。觀察顯示器輸出,紅色波形為AD1輸入、藍(lán)色為AD2輸入、黃色網(wǎng)格最上面橫線代表5V,最下面橫線代表-5V,中間橫線代表0V,每個(gè)豎線間隔是10個(gè)采樣點(diǎn)。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21539

    瀏覽量

    600530
  • adc
    adc
    +關(guān)注

    關(guān)注

    97

    文章

    6347

    瀏覽量

    543371
  • 數(shù)字示波器
    +關(guān)注

    關(guān)注

    6

    文章

    510

    瀏覽量

    33496
  • 波形顯示
    +關(guān)注

    關(guān)注

    0

    文章

    4

    瀏覽量

    9231
  • 紫光同創(chuàng)
    +關(guān)注

    關(guān)注

    5

    文章

    78

    瀏覽量

    27467
收藏 人收藏

    評論

    相關(guān)推薦

    紫光同創(chuàng)國產(chǎn)FPGA教程】【第十八章】AD實(shí)驗(yàn)AD7606波形顯示

    實(shí)驗(yàn)練習(xí)使用ADC,實(shí)驗(yàn)中使用的ADC模塊型號為AN706,最大采樣率200Khz,精度為16位。實(shí)驗(yàn)中把AN706的2路輸入以波形方式在HDMI上
    的頭像 發(fā)表于 02-25 11:20 ?9824次閱讀
    【<b class='flag-5'>紫光</b><b class='flag-5'>同創(chuàng)</b><b class='flag-5'>國產(chǎn)</b><b class='flag-5'>FPGA</b>教程】【<b class='flag-5'>第十</b>八章】AD<b class='flag-5'>實(shí)驗(yàn)</b><b class='flag-5'>之</b>AD7606<b class='flag-5'>波形</b><b class='flag-5'>顯示</b>

    紫光同創(chuàng)國產(chǎn)FPGA教程】【第二十四章】AD9238以太網(wǎng)傳輸

    使用ADC的以太網(wǎng)傳輸,實(shí)驗(yàn)中使用的ADC模塊型號為AN9238,最大采樣率65Mhz,精度為12位?;谇懊嬷v到的以太網(wǎng)實(shí)驗(yàn),在本實(shí)驗(yàn)中把AN92
    的頭像 發(fā)表于 04-08 09:13 ?1w次閱讀
    【<b class='flag-5'>紫光</b><b class='flag-5'>同創(chuàng)</b><b class='flag-5'>國產(chǎn)</b><b class='flag-5'>FPGA</b>教程】【第二十四章】<b class='flag-5'>AD9238</b>以太網(wǎng)傳輸

    國產(chǎn)FPGA介紹-紫光同創(chuàng)

    紫光同創(chuàng)紫光集團(tuán)旗下紫光國微的子公司,成立于2013年,有十余年可編程邏輯器件研發(fā)經(jīng)歷,布局覆蓋高中低端FPGA產(chǎn)品。 早在2015年,
    發(fā)表于 01-24 10:45

    AD9238

    誰能給我AD9238實(shí)際應(yīng)用電路,這個(gè)芯片為什么我使用時(shí)總是發(fā)燙?芯片手冊講的不清不楚的?誰用過這個(gè)芯片,幫小弟科普下!
    發(fā)表于 07-17 15:30

    紫光同創(chuàng)國產(chǎn)FPGA教程】【PGC1/2KG第一】控制LED燈實(shí)驗(yàn)例程

    適用于板卡型號: 紫光同創(chuàng)PGC1/2KG開發(fā)平臺(盤古1K/2K) 一:盤古1K/2K開發(fā)板(紫光同創(chuàng)PGC2KG開發(fā)平臺)簡介 盤古1/2K 開發(fā)板是一套基于
    發(fā)表于 08-08 11:49

    紫光同創(chuàng)國產(chǎn)FPGA教程】【PGC1/2KG第二】LED 流水燈實(shí)驗(yàn)例程

    適用于板卡型號: 紫光同創(chuàng)PGC1/2KG開發(fā)平臺(盤古1K/2K) 一:盤古1K/2K開發(fā)板(紫光同創(chuàng)PGC2KG開發(fā)平臺)簡介 盤古1/2K 開發(fā)板是一套基于
    發(fā)表于 08-09 11:58

    紫光同創(chuàng)FPGA開發(fā)套件,高性能國產(chǎn)FPGA方案

    紫光同創(chuàng)FPGA開發(fā)套件,高性能國產(chǎn)FPGA方案,100%國產(chǎn)化,全系列產(chǎn)品,方案可定制,滿足多
    發(fā)表于 11-16 17:25

    AD9238,pdf datasheet (Dual AD

    The AD9238 is a dual, 3 V, 12-bit, 20 MSPS/40 MSPS/65 MSPS analog-to-digital converter (ADC
    發(fā)表于 09-08 09:30 ?25次下載

    AD9238 SIMULINK ADIsimADC模型

    AD9238 Simulink ADIsimADC Model
    發(fā)表于 03-24 20:12 ?10次下載
    <b class='flag-5'>AD9238</b> SIMULINK ADIsimADC模型

    AD8332/AD9238評估工具

    AD8332/AD9238評估工具
    發(fā)表于 05-19 11:42 ?0次下載
    AD8332/<b class='flag-5'>AD9238</b>評估工具

    AD9238 SIMULINK ADIsimADC模型

    AD9238 SIMULINK ADIsimADC模型
    發(fā)表于 06-04 09:59 ?1次下載
    <b class='flag-5'>AD9238</b> SIMULINK ADIsimADC模型

    【正點(diǎn)原子FPGA連載】第二十七章DS18B20數(shù)字溫度傳感器實(shí)驗(yàn) -摘自【正點(diǎn)原子】新起點(diǎn)FPGA開發(fā)指南_V2.1

    【正點(diǎn)原子FPGA連載】第二十七章DS18B20數(shù)字溫度傳感器實(shí)驗(yàn) -摘自【正點(diǎn)原子】新起點(diǎn)FPGA開發(fā)指南_V2.1
    發(fā)表于 11-25 11:06 ?28次下載
    【正點(diǎn)原子<b class='flag-5'>FPGA</b>連載】第二<b class='flag-5'>十七章</b>DS18B20數(shù)字溫度傳感器<b class='flag-5'>實(shí)驗(yàn)</b> -摘自【正點(diǎn)原子】新起點(diǎn)<b class='flag-5'>之</b><b class='flag-5'>FPGA</b>開發(fā)指南_V2.1

    【正點(diǎn)原子FPGA連載】第三十七章雙路高速AD實(shí)驗(yàn) -摘自【正點(diǎn)原子】新起點(diǎn)FPGA開發(fā)指南_V2.1

    【正點(diǎn)原子FPGA連載】第三十七章雙路高速AD實(shí)驗(yàn) -摘自【正點(diǎn)原子】新起點(diǎn)FPGA開發(fā)指南_V2.1
    發(fā)表于 12-04 15:06 ?11次下載
    【正點(diǎn)原子<b class='flag-5'>FPGA</b>連載】第三<b class='flag-5'>十七章</b>雙路高速AD<b class='flag-5'>實(shí)驗(yàn)</b> -摘自【正點(diǎn)原子】新起點(diǎn)<b class='flag-5'>之</b><b class='flag-5'>FPGA</b>開發(fā)指南_V2.1

    "STM32H7學(xué)習(xí)繼續(xù)(STM32H7系列5)第十七章比較實(shí)用,以后寫程序的時(shí)候會用到"

    "STM32H7學(xué)習(xí)繼續(xù)(STM32H7系列5)第十七章比較實(shí)用,以后寫程序的時(shí)候會用到"
    發(fā)表于 12-05 11:21 ?9次下載
    "STM32H7學(xué)習(xí)繼續(xù)(STM32H7系列5)<b class='flag-5'>第十七章</b>比較實(shí)用,以后寫程序的時(shí)候會用到"

    AD9238規(guī)格書

    AD9238的詳細(xì)資料,技術(shù)參數(shù)等可查詢
    發(fā)表于 06-30 14:56 ?0次下載