0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Verilog HDL中的結(jié)構(gòu)建模方式細(xì)述

電子工程師 ? 來源:FPGA設(shè)計(jì)論壇 ? 作者:FPGA設(shè)計(jì)論壇 ? 2021-03-14 09:31 ? 次閱讀

結(jié) 構(gòu) 建 模

本章講述Verilog HDL中的結(jié)構(gòu)建模方式。結(jié)構(gòu)建模方式用以下三種實(shí)例語句描述:

? Gate實(shí)例語句

? UDP實(shí)例語句

? Module實(shí)例語句

第5章和第6章已經(jīng)討論了門級建模方式和U D P建模方式,本章講述模塊實(shí)例語句。

9.1 模塊

Verilog HDL中,基本單元定義成模塊形式,如下所示 :

m o d u l e m o d u l e _ n a m e(p o r t _ l i s t) ;

D e c l a r a t i o n s _ a n d _ S t a t e m e n t s

e n d m o d u l e

端口隊(duì)列p o rt _ l i s t列出了該模塊通過哪些端口與外部模塊通信。

9.2 端口

模塊的端口可以是輸入端口、輸出端口或雙向端口。缺省的端口類型為線網(wǎng)類型(即wire類型)。但是,端口可被顯式地指定為線網(wǎng)。輸出或輸入輸出端口能夠被重新聲明為reg型寄存器。無論是在線網(wǎng)說明還是寄存器說明中,線網(wǎng)或寄存器必須與端口說明中指定的長度相同。下面是一些端口說明實(shí)例。

m o d u l e M i c r o (PC, Instr, NextAddr );

/ /端口說明

i n p u t [3:1] P C;

o u t p u t [1:8] I n s t r;

i n o u t [16:1] N e x t A d d r;

/ /重新說明端口類型:

w i r e [16:1] N e x t A d d r; //該說明是可選的,但如果指定了,就必須與它的端口說明保持相同長度。

r e g [1:8] I n s t r;

/ /I n s t r已被重新說明為r e g類型,因此它能在always 語句或在i n i t i a l語句中賦值。

。 . 。

e n d m o d u l e

9.3 模塊實(shí)例語句

一個(gè)模塊能夠在另外一個(gè)模塊中被引用,這樣就建立了描述的層次。模塊實(shí)例語句形式

如下:

module_name instance_name (p o r t _ a s s o c i a t i o n s) ;

信號端口可以通過位置或名稱關(guān)聯(lián);但是關(guān)聯(lián)方式不能夠混合使用。端口關(guān)聯(lián)形式如下:

p o r t _ e x p r / /通過位置。

.P o r t N a m e (p o r t _ e x p r) / /通過名稱。

p o rt _ e x p r可以是以下的任何類型:

1) 標(biāo)識符(r e g或n e t)

2) 位選擇

3) 部分選擇

4) 上述類型的合并

5) 表達(dá)式(只適用于輸入端口)

在位置關(guān)聯(lián)中,端口表達(dá)式按指定的順序與模塊中的端口關(guān)聯(lián)。在通過名稱實(shí)現(xiàn)的關(guān)聯(lián)中,模塊端口和端口表達(dá)式的關(guān)聯(lián)被顯式地指定,因此端口的關(guān)聯(lián)順序并不重要。下例使用兩個(gè)半加器模塊構(gòu)造全加器;邏輯圖如圖 9 - 1所示。

在第一個(gè)模塊實(shí)例語句中, H A是模塊的名字 ,h 1是實(shí)例名稱,并且端口按位置關(guān)聯(lián),即

信號P與模塊(H A)的端口A連接,信號Q與端口B連接,S 1與S連接,C 1與模塊端口C連接。在第二個(gè)實(shí)例中,端口按名稱關(guān)聯(lián),即模塊( H A)和端口表達(dá)式間的連接是顯示地定義

的。下例是使用不同端口表達(dá)式形式的模塊實(shí)例語句。

Micro M1 (U d I n[3:0], {WrN, RdN}, S t a t u s[0], S t a t u s[ 1 ] ,

& U d O u t [0:7], T x D a t a) ;

這個(gè)實(shí)例語句表示端口表達(dá)式可以是標(biāo)識符( T x D a t a)、位選擇(S t a t u s[ 0 ]) 、 部分位選擇(U d I n[ 3 : 0 ])、合并({Wr N,R d N})或一個(gè)表達(dá)式(& u d O u t[ 0 : 7 ]);表達(dá)式只能夠連接到輸入端口。

9.3.1 懸空端口

在實(shí)例語句中,懸空端口可通過將端口表達(dá)式表示為空白來指定為懸空端口,例如:

DFF d1 ( .Q(Q S), .Q b a r(), .D a t a( D ) ,

.P r e s e t(), .C l o c k(CK)); //名稱對應(yīng)方式。

DFF d2 (QS, , D, , CK ) ; / /位置對應(yīng)方式。

/ /輸出端口Q b a r懸空。

/ /輸入端口P r e s e t打開,其值設(shè)定為z。

在這兩個(gè)實(shí)例語句中,端口Q b a r和P re s e t懸空。

模塊的輸入端懸空,值為高阻態(tài)z。模塊的輸出端口懸空,表示該輸出端口廢棄不用。

9.3.2 不同的端口長度

當(dāng)端口和局部端口表達(dá)式的長度不同時(shí),端口通過無符號數(shù)的右對齊或截?cái)喾绞竭M(jìn)行匹

配。例如:

在對C h i l d模塊的實(shí)例中, B d l[ 2 ]連接到P b a[ 0 ],B d l[1] 連接到P b a[ 1 ],余下的輸入端口P b a[ 5 ]、P b a[ 4 ]和P b a[ 3 ]懸空,因此為高阻態(tài) z。與之相似,M p r[ 6 ]連接到P p y[ 0 ],M p r[ 5 ]連接到P p y[ 1 ],M p r[4] 連接到P p y[ 2 ]。參見圖9 - 2。

9.3.3 模塊參數(shù)

當(dāng)某個(gè)模塊在另一個(gè)模塊內(nèi)被引用時(shí),高層模塊能夠改變低層模塊的參數(shù)值。模塊參數(shù)值的改變可采用下述兩種方式:

1) 參數(shù)定義語句(d e f p a r a m);

2) 帶參數(shù)值的模塊引用。

1. 參數(shù)定義語句

參數(shù)定義語句形式如下:

d e f p a r a m hier_path_name1 = v a l u e 1,

hier_path_name2 = v a l u e 2, 。..;

較低層模塊中的層次路徑名參數(shù)可以使用如下語句顯式定義(層次路徑名在下一章中講述)。下面是一個(gè)例。模塊FA和H A已在本節(jié)前面描述過。

2. 帶參數(shù)值的模塊引用

在這種方法中,模塊實(shí)例語句自身包含有新的參數(shù)值。下面的例子在前幾節(jié)中也出現(xiàn)過,本例中采用帶參數(shù)的模塊引用方式。

模塊實(shí)例語句中參數(shù)值的順序必須與較低層被引用的模塊中說明的參數(shù)順序匹配。在模塊TO P 3中,A N D _ D E L AY已被設(shè)置為5,X O R _ D E L AY已被設(shè)置為2。

模塊TO P 3和TO P 4解釋說明了帶參數(shù)的模塊引用只能用于將參數(shù)值向下傳遞一個(gè)層次(例如,O R _ D E L AY),但是參數(shù)定義語句能夠用于替換層次中任意一層的參數(shù)值。

應(yīng)注意到:在帶參數(shù)的模塊引用中,參數(shù)的指定方式與門級實(shí)例語句中時(shí)延的定義方式相似;但由于對復(fù)雜模塊的引用時(shí),其實(shí)例語句不能像對門實(shí)例語句那樣指定時(shí)延,故此處不會導(dǎo)致混淆。參數(shù)值還可以表示長度。下面是通用的 M×N乘法器建模的實(shí)例。

第1個(gè)值8指定了參數(shù)E M的新值,第2個(gè)值6指定了參數(shù)E N的新值。

9.4 外部端口

在迄今為止所見到的模塊定義中,端口表列舉出了模塊外部可見的端口。例如,

A r b、C t r l、M e m _ B l k和B y t e為模塊端口。這些端口同時(shí)也是外部端口,即在實(shí)例中,當(dāng)采用名稱關(guān)聯(lián)方式時(shí),外部端口名稱用于指定相互連接。下面是模塊 S c r a m _ A的實(shí)例。

Scram_A SX( .B y t e(B 1) , .M e m _ B l k(M 1) , .C t r l(C 1) , .A r b(A 1) ) ;

在模塊S c r a m _ A中,外部端口名稱隱式地指定。Verilog HDL中提供顯式方式指定外部端

口名稱。這可以通過按如下形式指定一個(gè)端口來完成:

.e x t e r n a l _ p o r t _ n a m e(i n t e r n a l _ p o r t _ n a m e)

下面是同一個(gè)例子,只不過是顯式地指定外部端口。

模塊S c r a m _ B在此實(shí)例中指定的外部端口是 D a t a、C o n t ro l、M e m _ Wo rd和A d d r。端口表顯式地表明了外部端口和內(nèi)部端口之間的連接。注意外部端口無需聲明,但是模塊的內(nèi)部端口卻必須聲明。外部端口在模塊內(nèi)不可見,但是卻要在模塊實(shí)例語句中使用,而內(nèi)部端口因?yàn)樵谀K中可見,所以必須在模塊中說明。在模塊實(shí)例語句中,外部端口的使用如下所示:

Scram_B S1 ( .A d d r(A 1) , .D a t a(D 1) , .C o n t r o l(C 1) ,

.M e m _ W o r d(M 1) ) ;

在模塊定義的端口表中,這兩種概念不能混淆,即在模塊定義中所有端口必須指定顯式的端口名稱,或者沒有一個(gè)端口帶有顯式的端口名稱。如果模塊端口通過位置連接,則模塊實(shí)例語句中不能使用外部端口名稱。

內(nèi)部端口名稱可以是標(biāo)識符,也可以是下述類型的表達(dá)式:

? 位選擇;

? 部分選擇;

? 位選擇、部分選擇和標(biāo)識符的合并。

例如,

在S c r a m _ C的模塊定義中,端口表包括部分選擇( A r b[ 0 : 2 ])、標(biāo)識符( C t r l)、合并({M e m _ B l k[ 0 ],M e m _ B l k[ 1 ] } )和位選擇(B y t e[ 3 ])。在內(nèi)部端口是位選擇、部分選擇或合并的情況下,沒有隱式地指定外部端口名。因此,在這樣的模塊實(shí)例語句中,模塊端口必須通過位置關(guān)聯(lián)相互連接。例如,

Scram_C SYA (L 1[ 4 : 6 ] ,C L , M M Y[ 1 : 0 ] ,B T) ;

在這個(gè)實(shí)例語句中,端口通過位置關(guān)聯(lián)相連接,因此 L 1[ 4∶6 ]連接到A r b[ 0∶2 ],C L連接

到C t r l,M M Y[ 1 ]連接到M e m _ B l k[ 0 ] ,M M Y[ 0 ]連接到M e m _ B l k[ 1 ],B T連接到B y t e[ 3 ]。

若使用端口名稱關(guān)聯(lián)(即當(dāng)內(nèi)部端口不是標(biāo)識符時(shí)),必須對模塊中的端口指定外部端口名。如下面的S c r a m _ D模塊定義所示。

在S c r a m _ D模塊實(shí)例語句中,端口既能夠使用位置連接,也能夠使用名稱連接,但是不能混合使用。下例的模塊實(shí)例語句端口通過名稱連接。

Scram_D SZ ( .D a t a(L 1[ 4 : 6 ] , .C o n t r o l(C L) ,

.M e m _ W o r d(M M Y[ 1 : 0 ],.A d d r(B T) ) ;

模塊中可以只有外部端口而沒有內(nèi)部端口。即模塊在引用中其外部端口可以懸空,不與內(nèi)部信號相連。例如:

模塊S c r a m _ E 有兩個(gè)外部端口D a t a和A d d r,這兩個(gè)端口在使用時(shí)被懸空。

一個(gè)內(nèi)部端口是否能與多個(gè)外部端口連接?Verilog HDL允許這樣連接。例如,

內(nèi)部端口C o n d O u t 與兩個(gè)外部端口B和C連接,所以C o n d O u t的值在B和C上都出現(xiàn)。

9.5 舉例

下例采用結(jié)構(gòu)模型描述十進(jìn)制計(jì)數(shù)器。十進(jìn)制計(jì)數(shù)器的邏輯圖如圖 9 - 3所示。

ad29dd00-8434-11eb-8b86-12bb97331649.png

注意常數(shù)作為輸入端口信號的用法,以及懸空端口。

下面是另一個(gè)例子,3位可逆計(jì)數(shù)器的邏輯結(jié)構(gòu)如圖9 - 4所示,其結(jié)構(gòu)描述如下:

ade46cba-8434-11eb-8b86-12bb97331649.png

責(zé)任編輯:lq6

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • UDP
    UDP
    +關(guān)注

    關(guān)注

    0

    文章

    318

    瀏覽量

    33842
  • 端口
    +關(guān)注

    關(guān)注

    4

    文章

    938

    瀏覽量

    31963
  • Verilog HDL
    +關(guān)注

    關(guān)注

    17

    文章

    125

    瀏覽量

    50365
  • 可逆計(jì)數(shù)器

    關(guān)注

    0

    文章

    2

    瀏覽量

    6757

原文標(biāo)題:Verilog入門-結(jié)構(gòu)建模

文章出處:【微信號:gh_9d70b445f494,微信公眾號:FPGA設(shè)計(jì)論壇】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    Verilog HDL的基礎(chǔ)知識

    本文繼續(xù)介紹Verilog HDL基礎(chǔ)知識,重點(diǎn)介紹賦值語句、阻塞與非阻塞、循環(huán)語句、同步與異步、函數(shù)與任務(wù)語法知識。
    的頭像 發(fā)表于 10-24 15:00 ?85次閱讀
    <b class='flag-5'>Verilog</b> <b class='flag-5'>HDL</b>的基礎(chǔ)知識

    FPGA Verilog HDL有什么奇技巧?

    今天給大俠帶來在FPAG技術(shù)交流群里平時(shí)討論的問題答疑合集(九),以后還會多推出本系列,話不多說,上貨。 交流問題(一) Q:Verilog 有什么奇技淫巧? A:在 Verilog ,以下這些
    發(fā)表于 09-12 19:10

    FPGA設(shè)計(jì) Verilog HDL實(shí)現(xiàn)基本的圖像濾波處理仿真

    今天給大俠帶來FPGA設(shè)計(jì)中用Verilog HDL實(shí)現(xiàn)基本的圖像濾波處理仿真,話不多說,上貨。 1、用matlab代碼,準(zhǔn)備好把圖片轉(zhuǎn)化成Vivado Simulator識別的格式,即每行一
    發(fā)表于 05-20 16:44

    有什么好用的verilog HDL編輯工具可用?

    有什么好用的verilog HDL編輯工具可用?最好能集成實(shí)時(shí)的verilog HDL語法檢測、自定義模塊識別觸發(fā)等功能,最好能夠免費(fèi);
    發(fā)表于 04-28 11:00

    veriloginput和output作用

    以完成各種計(jì)算和控制任務(wù)。本文將詳細(xì)介紹input和output在Verilog的作用及其使用方式。 一、input的作用及使用方式 作用 在Ve
    的頭像 發(fā)表于 02-23 10:29 ?2625次閱讀

    verilog調(diào)用模塊端口對應(yīng)方式

    Verilog是一種硬件描述語言(HDL),廣泛應(yīng)用于數(shù)字電路設(shè)計(jì)和硬件驗(yàn)證。在Verilog,模塊是構(gòu)建電路的基本單元,而模塊端口對應(yīng)
    的頭像 發(fā)表于 02-23 10:20 ?1406次閱讀

    veriloginitial和always的區(qū)別

    Verilog是一種硬件描述語言(HDL),用于設(shè)計(jì)和模擬數(shù)字電路。在Verilog,關(guān)鍵字initial和always都是用于描述電路行為的特殊語句。它們被用來生成仿真模型,并控制
    的頭像 發(fā)表于 02-22 16:09 ?2196次閱讀

    verilog function函數(shù)的用法

    Verilog 是一種硬件描述語言 (HDL),主要用于描述數(shù)字電子電路的行為和結(jié)構(gòu)。在 Verilog ,函數(shù) (Function) 是
    的頭像 發(fā)表于 02-22 15:49 ?4622次閱讀

    Verilog HDL數(shù)字集成電路設(shè)計(jì)方法概述

    電子發(fā)燒友網(wǎng)站提供《Verilog HDL數(shù)字集成電路設(shè)計(jì)方法概述.zip》資料免費(fèi)下載
    發(fā)表于 02-03 09:27 ?2次下載

    通過HDL制作了一個(gè)4位計(jì)數(shù)組件VERILOG ,如何設(shè)置像這些標(biāo)準(zhǔn)組件這樣的API?

    我通過 HDL 制作了一個(gè) 4 位計(jì)數(shù)組件 VERILOG ,如何設(shè)置像這些標(biāo)準(zhǔn)組件這樣的 API? 例如 counter_writeCounter ()、counter_readCounter () 的命令。
    發(fā)表于 01-25 06:06

    介紹三種建模方式

    很多人都知道建模有多種方式,比如基于基礎(chǔ)物理模型的數(shù)理方程或者公式,比如薛定諤方程、麥克斯韋方程組等;還有就是基于數(shù)據(jù)驅(qū)動(dòng)的建模方式,現(xiàn)在比較流行的就是大數(shù)據(jù)
    的頭像 發(fā)表于 01-23 10:48 ?1310次閱讀

    #2024,立Flag了嘛? #spinal HDL的基本模塊結(jié)構(gòu)

    {//Component可以視為Verilogmodule val a = Bool() val b = Bool() } object Demo01{ def main(args:Array[String
    發(fā)表于 01-21 11:15

    例說Verilog HDL和VHDL區(qū)別

    Verilog和VHDL之間的區(qū)別將在本文中通過示例進(jìn)行詳細(xì)說明。對優(yōu)點(diǎn)和缺點(diǎn)的Verilog和VHDL進(jìn)行了討論。
    的頭像 發(fā)表于 12-20 09:03 ?2814次閱讀
    例說<b class='flag-5'>Verilog</b> <b class='flag-5'>HDL</b>和VHDL區(qū)別

    物聯(lián)網(wǎng)的構(gòu)建模塊:構(gòu)建物聯(lián)網(wǎng)的技術(shù)和解決方案

    電子發(fā)燒友網(wǎng)站提供《物聯(lián)網(wǎng)的構(gòu)建模塊:構(gòu)建物聯(lián)網(wǎng)的技術(shù)和解決方案.pdf》資料免費(fèi)下載
    發(fā)表于 11-22 10:39 ?0次下載
    物聯(lián)網(wǎng)的<b class='flag-5'>構(gòu)建模</b>塊:<b class='flag-5'>構(gòu)建</b>物聯(lián)網(wǎng)的技術(shù)和解決方案

    淺談Verilog HDL代碼編寫風(fēng)格

    深層次的問題,對于這個(gè)行業(yè)來說可能我才是一直腳踩在門外面。所以這篇文章是寫給一些剛開始學(xué)習(xí)FPGA、Verilog HDL的同學(xué),我看過一些大神寫的代碼,然后盡量模仿大神寫法,經(jīng)過好幾個(gè)大神的影響和自己
    的頭像 發(fā)表于 11-20 10:04 ?678次閱讀
    淺談<b class='flag-5'>Verilog</b> <b class='flag-5'>HDL</b>代碼編寫風(fēng)格