0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

基于數(shù)字電路的實驗脈沖寬度調(diào)制

電子森林 ? 來源:嗶哩嗶哩 ? 作者:硬禾學(xué)堂 ? 2021-03-22 09:08 ? 次閱讀

我們還是先從脈沖寬度調(diào)制的基礎(chǔ)說起。脈沖寬度調(diào)制,英文縮寫為:PWM(Pulse Width Modulation),是通過數(shù)字信號實現(xiàn)對模擬電路控制的一種非常有效的技術(shù),常被廣泛應(yīng)用于測量、通信、功率控制與變換等眾多領(lǐng)域。

那么PWM是如何工作的?

我們知道,數(shù)字電路只能產(chǎn)生高電平(1)或低電平(0),在小腳丫上也就意味著3.3V和0V。那么如果我們的應(yīng)用恰好在這之間怎么辦?比如,將3.3V直接連到LED上會導(dǎo)致LED燈很亮。如何將LED燈調(diào)暗呢?當(dāng)然,最簡單的辦法就是直接串聯(lián)一個限流電阻但這樣一來,限流電阻就需要不斷產(chǎn)生功耗,而這個功耗實際上是完全浪費掉的。

無非就是調(diào)節(jié)LED的亮度而已,難道就沒有其他更好的辦法了嗎?當(dāng)然有,用我們今天學(xué)習(xí)的PWM就可以輕松實現(xiàn)。在進一步探討點亮LED之前,我們先通過圖1了解一些基本的參數(shù)

b2921ed2-888b-11eb-8b86-12bb97331649.png

圖1

圖1中,脈沖信號的周期為T,高電平寬度為t。如果我們將t/T定義為占空比,占空比就是2/3,因為高電平的寬度占了整個周期的2/3。在圖1中我們還可以看到一條紅色虛線,畫在了脈沖高度2/3的位置。這條虛線實際上就對應(yīng)著最終的有效值。那么如何在FPGA上生成PWM信號呢?

我們還是習(xí)慣看圖說話,請看圖2。假如我們有一個鋸齒波,然后在鋸齒波上設(shè)置一個閾值(黑色水平虛線),凡是大于該閾值時輸出均為高電平,反之則為低電平,這樣我們是不是就得到一個PWM信號呢?如果我們想調(diào)整它的占空比,那么調(diào)節(jié)閾值的高低就可以了。在本例中,閾值線越低占空比越高。

圖2

如果把上面的描述再抽象化一下,就可以畫出圖3的模塊框圖。鋸齒波實際上就可以用計數(shù)器生成,閾值就是一個數(shù)值而已,比較器是用來生成最后輸出高低電平用的。

圖3

有了設(shè)計思路之后,我們來看一下最終代碼。

module pwm (PWM_out, clk, reset); input clk, reset; output reg PWM_out; wire [7:0] counter_out; //計數(shù)器的8位寬儲存,可以最多數(shù)128次時鐘的嘀嗒 parameter PWM_ontime = 32; //閾值設(shè)在32,對應(yīng)25%的占空比 always @ (posedge clk) begin //比較器 if (PWM_ontime 》 counter_out) PWM_out 《= 0; else PWM_out 《= 1; end counter counter_inst( //調(diào)用計數(shù)器 .clk (clk), .counter_out (counter_out), .reset(reset) );endmodule

module counter(counter_out,clk,reset); //計數(shù)器模塊代碼 output [7:0] counter_out; input clk, reset; reg [7:0] counter_out; always @(posedge clk) if (reset) //如果沒有按reset,則計數(shù)器清零 counter_out 《= 8‘b0; else //如果按下reset,則計數(shù)器開始計數(shù) counter_out 《= counter_out + 1;endmodule

在代碼中,我們設(shè)置的計數(shù)器位寬是8位,也就是每128次后自動重新計數(shù)。所以,該計數(shù)器的最大頻率也就是12MHz/128=93.75KHz。圖3中可以看出,PWM信號的頻率和計數(shù)器的頻率相同,因此也是93.78KHz。

試想一下,LED現(xiàn)在正以超過每秒9萬次的速度閃爍,肉眼是完全分辨不出來的。那么閃爍過程中,亮/滅的比值越大,LED的視覺發(fā)光效果就越強,反之則越弱。我們最后將上述程序?qū)胄∧_丫中,并通過調(diào)節(jié)閾值來觀察小腳丫上的LED發(fā)光強度的變化。
編輯:lyn

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 通信
    +關(guān)注

    關(guān)注

    18

    文章

    5926

    瀏覽量

    135697
  • 數(shù)字電路
    +關(guān)注

    關(guān)注

    193

    文章

    1590

    瀏覽量

    80288
  • 測量
    +關(guān)注

    關(guān)注

    10

    文章

    4702

    瀏覽量

    110947
  • 脈沖寬度調(diào)制
    +關(guān)注

    關(guān)注

    7

    文章

    81

    瀏覽量

    13677

原文標(biāo)題:基于FPGA的數(shù)字電路實驗8:PWM脈寬調(diào)制

文章出處:【微信號:xiaojiaoyafpga,微信公眾號:電子森林】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    柵極驅(qū)動器電路中窄脈沖寬度的影響

    電子發(fā)燒友網(wǎng)站提供《柵極驅(qū)動器電路中窄脈沖寬度的影響.pdf》資料免費下載
    發(fā)表于 08-29 11:21 ?0次下載
    柵極驅(qū)動器<b class='flag-5'>電路</b>中窄<b class='flag-5'>脈沖寬度</b>的影響

    PWM逆變電路調(diào)制方法有哪幾種?

    脈沖寬度調(diào)制(PWM)逆變電路是一種利用脈沖寬度的變化來控制輸出電壓有效值的技術(shù)。
    的頭像 發(fā)表于 05-29 16:15 ?625次閱讀

    什么叫單極性和雙極性脈沖寬度調(diào)制?

    脈沖寬度調(diào)制是一種模擬信號數(shù)字化的方法,通過改變脈沖序列中脈沖寬度來控制模擬信號的輸出。PWM廣泛應(yīng)用于電機控制、LED調(diào)光、音頻
    的頭像 發(fā)表于 05-24 15:29 ?941次閱讀

    脈沖寬度調(diào)制控制電路TL1453C數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《雙脈沖寬度調(diào)制控制電路TL1453C數(shù)據(jù)表.pdf》資料免費下載
    發(fā)表于 04-18 11:13 ?0次下載
    雙<b class='flag-5'>脈沖寬度調(diào)制</b>控制<b class='flag-5'>電路</b>TL1453C數(shù)據(jù)表

    負(fù)輸出反激脈沖寬度調(diào)制器UC3572數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《負(fù)輸出反激脈沖寬度調(diào)制器UC3572數(shù)據(jù)表.pdf》資料免費下載
    發(fā)表于 04-11 10:42 ?0次下載
    負(fù)輸出反激<b class='flag-5'>脈沖寬度調(diào)制</b>器UC3572數(shù)據(jù)表

    調(diào)節(jié)脈沖寬度調(diào)制器UC1525B/1527B系列數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《調(diào)節(jié)脈沖寬度調(diào)制器UC1525B/1527B系列數(shù)據(jù)表.pdf》資料免費下載
    發(fā)表于 04-07 15:47 ?0次下載
    調(diào)節(jié)<b class='flag-5'>脈沖寬度調(diào)制</b>器UC1525B/1527B系列數(shù)據(jù)表

    先進的調(diào)節(jié)脈沖寬度調(diào)制器UC1524A系列數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《先進的調(diào)節(jié)脈沖寬度調(diào)制器UC1524A系列數(shù)據(jù)表.pdf》資料免費下載
    發(fā)表于 04-07 15:40 ?0次下載
    先進的調(diào)節(jié)<b class='flag-5'>脈沖寬度調(diào)制</b>器UC1524A系列數(shù)據(jù)表

    調(diào)節(jié)脈沖寬度調(diào)制器UC1526A系列數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《調(diào)節(jié)脈沖寬度調(diào)制器UC1526A系列數(shù)據(jù)表.pdf》資料免費下載
    發(fā)表于 04-02 09:27 ?1次下載
    調(diào)節(jié)<b class='flag-5'>脈沖寬度調(diào)制</b>器UC1526A系列數(shù)據(jù)表

    調(diào)節(jié)脈沖寬度調(diào)制器UCx52xA數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《調(diào)節(jié)脈沖寬度調(diào)制器UCx52xA數(shù)據(jù)表.pdf》資料免費下載
    發(fā)表于 04-01 15:34 ?0次下載
    調(diào)節(jié)<b class='flag-5'>脈沖寬度調(diào)制</b>器UCx52xA數(shù)據(jù)表

    脈沖寬度調(diào)制(PWM):工程機械控制器的常見技術(shù)

    在電子領(lǐng)域中,脈沖寬度調(diào)制(PWM)是一項廣泛應(yīng)用的技術(shù)。通過改變信號的脈沖寬度來調(diào)整輸出信號的平均功率,實現(xiàn)對電壓或電流的有效控制。在工程機械控制器中,PWM功能更是發(fā)揮著至關(guān)重要的作用,為
    的頭像 發(fā)表于 03-06 13:58 ?961次閱讀
    <b class='flag-5'>脈沖寬度調(diào)制</b>(PWM):工程機械控制器的常見技術(shù)

    為什么工程機械控制器需要脈沖寬度調(diào)制功能

    在電子領(lǐng)域中,脈沖寬度調(diào)制(PWM)是一項廣泛應(yīng)用的技術(shù)。通過改變信號的脈沖寬度來調(diào)整輸出信號的平均功率,實現(xiàn)對電壓或電流的有效控制。在工程機械控制器中,PWM功能更是發(fā)揮著至關(guān)重要的作用,為機械系統(tǒng)提供了高效、精確的控制手段。
    的頭像 發(fā)表于 02-23 10:17 ?389次閱讀

    脈沖數(shù)字信號之間的關(guān)系是什么?數(shù)字電路的工作信號是脈沖嗎?

    脈沖數(shù)字信號之間的關(guān)系是什么?數(shù)字電路的工作信號是脈沖嗎? 脈沖數(shù)字信號之間有一定的關(guān)系,但
    的頭像 發(fā)表于 02-05 15:51 ?1969次閱讀

    PWM脈沖寬度調(diào)制原理

    脈沖寬度調(diào)制原理 在GPIO的數(shù)字輸入和輸出模式中,只有高低電平,高電平一般是3.3V或者5V,低電平就是0V,如果我想要一個折中一點的電壓怎么辦呢?PWM大家了解一下。 PWM,全稱是脈沖寬度調(diào)制,是一種對模擬信號電平進行
    的頭像 發(fā)表于 11-27 11:37 ?923次閱讀
    PWM<b class='flag-5'>脈沖寬度調(diào)制</b>原理

    脈沖寬度基礎(chǔ)知識簡介

    脈沖寬度是指脈沖所能達(dá)到的最大值所持續(xù)的周期時間。脈沖寬度是電子領(lǐng)域中一個重要的概念,它與脈沖重復(fù)間隔和占空比等參數(shù)密切相關(guān)。
    的頭像 發(fā)表于 11-23 14:27 ?4118次閱讀

    ADSP-CM402F脈沖寬度調(diào)制器(PWM)主要特性及三相交流電機控制應(yīng)用

    電子發(fā)燒友網(wǎng)站提供《ADSP-CM402F脈沖寬度調(diào)制器(PWM)主要特性及三相交流電機控制應(yīng)用.pdf》資料免費下載
    發(fā)表于 11-23 09:34 ?1次下載
    ADSP-CM402F<b class='flag-5'>脈沖寬度調(diào)制</b>器(PWM)主要特性及三相交流電機控制應(yīng)用