0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

淺談Verilog-95、Verilog-2001與System Verilog之間的區(qū)別

OpenFPGA ? 來源:OpenFPGA ? 作者:OpenFPGA ? 2021-06-21 14:46 ? 次閱讀

發(fā)展歷史

1984年,Verilog開始作為一種專用的硬件建模語(yǔ)言使用,取得了相當(dāng)大的成功。1990年,Cadence Design Systems公司將該語(yǔ)言面向公眾開放,作為試圖與VHDL相抗衡的競(jìng)爭(zhēng)手段的一部分。1995年,Verilog成為IEEE標(biāo)準(zhǔn)1364-1995,也就是所謂的Verilog-95。

Verilog-95以后不斷演變,2001年成為IEEE的另一個(gè)標(biāo)準(zhǔn)1364-2001,即所謂的Verilog-2001。與過去的標(biāo)準(zhǔn)相比,它包含了很多擴(kuò)展,克服了原來標(biāo)準(zhǔn)的缺點(diǎn),并引入了一些新的語(yǔ)言特征。2005年,IEEE發(fā)布了1364-2005標(biāo)準(zhǔn),稱為Verilog 2005。它修改了一些規(guī)范,并具有一些新的語(yǔ)言特征。

IEEE發(fā)布了一些System Verilog的標(biāo)準(zhǔn)。最新的標(biāo)準(zhǔn)是1800-2009,是在2009年發(fā)布的。System Verilog是Verilog的一個(gè)超集,旨在更好地支持設(shè)計(jì)驗(yàn)證功能,提高仿真性能,使語(yǔ)言變得更加強(qiáng)大、更易于使用。

Verilog-2001是大多數(shù)FPGA設(shè)計(jì)者主要使用的Verilog版本,得到了所有的綜合和仿真工具支持。

Verilog-2001

Xilinx 的 XST 和其他 FPGA 綜合工具都有一個(gè)選項(xiàng), 可啟用或禁用 Verilog-2001 標(biāo)準(zhǔn)。XST 使用-Verilog2001 命令行選項(xiàng), 而 Synplify 使用“ set_option-vlog_std v2001 ” 命令。下面簡(jiǎn)要概括了 Verilog-95 和 Verilog-2001 之間最主要的區(qū)別。

Verilog-2001 增加了對(duì)帶符號(hào)數(shù)補(bǔ)碼算術(shù)運(yùn)算的支持。而在 Verilog-95 中, 開發(fā)者需要使用按位操作進(jìn)行帶符號(hào)數(shù)的運(yùn)算。Verilog-2001 中的相同功能可以使用內(nèi)置操作符和關(guān)鍵字進(jìn)行描述。在自動(dòng)擴(kuò)展‘bz 和’bx 賦值方面, Verilog-95 中的代碼:

wire [63:0] mydata = ‘bz;

將為 mydata [31:0]分配數(shù)值 z,并為 mydata [63:32]分配數(shù)值 0。而Verilog-2001將’bz和‘bx賦值擴(kuò)展到變量的全部寬度。generate結(jié)構(gòu)通過使用if/else/case語(yǔ)句,允許Verilog-2001控制實(shí)例和語(yǔ)句例化。通過使用generate結(jié)構(gòu),設(shè)計(jì)者可以很容易例化具有正確連接的一組實(shí)例。以下是使用generate結(jié)構(gòu)的幾個(gè)例子。

/ / 一組實(shí)例module adder array(input [63:0] a,b, output [63:0] sum);generategenvar ix; for (ix=0; ix《=7; ix=ix+l) begin : adder_array adder add (a[8*ix+7 -:8], b[8*ix+7 -:8], sum[8*ix+7 - :8]); endendgenerateendmodule // adder

//arraymodule adder(input [7:0] a,b, output [7:0] sum ); assign sum = a + b;endmodule // adder// if.。。。。。.else 語(yǔ)句module adder_array(input [63:0] a,b, output [63:0] sum);

parameter WIDTH = 4;

generateif (WIDTH 《 64) begin : adder_gen2 assign sum[63 -: (64-WIDTH)] =’b0; adder # (WIDTH) adder1(a[WIDTH-1 -:WIDTH], b[WIDTH-1 -:WIDTH],sum[WIDTH-1 -: WIDTH]);endelse begin:adder_default adder # (64) adder1(a, b, sum);endendgenerateendmodule // adder_array

// case statementmodule adder_array(input [63:0] a,b, output [63:0] sum);generatecase (WIDTH) 1: begin : ease1 assign sum[63 -: 63] = ‘b0; adder #(WIDTH) adder1(a[0], b[0], sum[0]); end default: begin : def adder # (64) adder1(a, b, sum); endendcaseendgenerateendmodule // adder_array

Verilog-2001增加了對(duì)多維數(shù)組的支持。綜合工具對(duì)多維數(shù)組的綜合設(shè)置了一些限制。例如,XST支持的數(shù)組維數(shù)最多為2,不允許一次選擇多個(gè)數(shù)組元素。不能將多維數(shù)組傳遞給任務(wù)或函數(shù)。下面舉例說明如何描述一個(gè)256x16線網(wǎng)型元素的數(shù)組,寬度為4位。

wire [3:0] multi_dim_array [0:255][0:15];

更簡(jiǎn)潔的端口說明如下所示。

/ / Verilog-95module adder(a,b,sum); input [7:0] a,b; output [7:0] sum;assign sum = a + b;endmodule // adder

// Verilog-2001module adder(input [7:0] a,b, output [7:0] sum ); assign sum = a + b;endmodule // adder

Verilog-2001增加了對(duì)指數(shù)或冪運(yùn)算符“**”的支持。在許多應(yīng)用中,例如用于計(jì)算存儲(chǔ)器的深度,這是非常方便的。

綜合工具支持指數(shù)時(shí)有一些限制。XST要求兩個(gè)操作數(shù)都是常數(shù),并且第二個(gè)數(shù)為非負(fù)數(shù)。數(shù)值x和z是不允許使用的。如果第一個(gè)操作數(shù)是2,則第二個(gè)數(shù)是可變的。公眾號(hào):OpenFPGA

下面舉例說明如何使用指數(shù)。localparam BASE = 3, EXP = 4;assign exp_out2 = BASE**EXP;

/ / 該代碼綜合為移位寄存器assign exp_out1 = 2**exp_in;

使用逗號(hào)分隔敏感信號(hào)列表。// Verilog-95always @(a or b); sum = a + b;

/ / Verilog-2001always @(a,b); sum=a + b;always @(*); sum=a + b;

要求的線網(wǎng)型信號(hào)說明Verilog-95 要求所有不是端口且被連續(xù)賦值驅(qū)動(dòng)的 1 位線網(wǎng)型信號(hào)必須要說明。這一要求在 Verilog-2001 中已被刪除。

取而代之的是, Verilog-2001 標(biāo)準(zhǔn)中增加了一個(gè)新的’default_nettype 編譯器指令。如果該指令被賦值為“none“,則必須聲明所有1位線網(wǎng)型信號(hào)。公眾號(hào):OpenFPGA

/ / Verilog-95wire sum;assign sum = a+b;

// Verilog-2001wire sum; / / 不 需 要assign sum = a + b;

‘default_nettype nonewire sum; // 必須的assign sum = a + b;

System Verilog

System Verilog標(biāo)準(zhǔn)被設(shè)計(jì)為一個(gè)統(tǒng)一的硬件設(shè)計(jì)、規(guī)范和驗(yàn)證語(yǔ)言。這是一個(gè)大型標(biāo)準(zhǔn),由幾個(gè)部分組成,包括設(shè)計(jì)規(guī)范方法、嵌入式說明語(yǔ)言、函數(shù)覆蓋、面向?qū)ο?a href="http://srfitnesspt.com/v/tag/1315/" target="_blank">編程及約束。System Verilog的主要目標(biāo)是建立統(tǒng)一的設(shè)計(jì)和驗(yàn)證環(huán)境,兼具VerilogVHDL和硬件驗(yàn)證語(yǔ)言的最好功能及編譯優(yōu)勢(shì)。

System Verilog將多樣化的工具和方法進(jìn)行合并,消除了軟件和硬件工程師在系統(tǒng)設(shè)計(jì)上的隔閡,以便共享成果。System Verilog中包含幾個(gè)現(xiàn)有Verilog規(guī)范的擴(kuò)展,用于減少代碼行數(shù),鼓勵(lì)設(shè)計(jì)復(fù)用,并提高仿真性能。

同時(shí),它還完全兼容以前的Verilog的各種版本。System Verilog得到多數(shù)商業(yè)模擬器的支持,包括ModelSim、VCS、NCSim等。System Verilog標(biāo)準(zhǔn)的可綜合部分能被Synplify和Precision綜合工具支持。設(shè)計(jì)綜合及驗(yàn)證環(huán)境經(jīng)常要使用System Verilog和Verilog語(yǔ)言編寫。

頂層模塊既在Verilog中實(shí)現(xiàn),又在SystemVerilog中實(shí)現(xiàn)。這使得它與所有不支持System Verilog的FPGA綜合工具相兼容。該設(shè)計(jì)可以很容易地與System Verilog編寫的驗(yàn)證庫(kù)集成在一起。以下簡(jiǎn)要概述System Verilog的一些獨(dú)特功能。公眾號(hào):OpenFPGA數(shù)據(jù)類型

2個(gè)狀態(tài)的數(shù)據(jù)類型接受數(shù)值0和1。4個(gè)狀態(tài)的數(shù)據(jù)類型接受數(shù)值0、1、z和x。System Verilog提供了創(chuàng)建信號(hào)和變量的自定義分組,這類似于C語(yǔ)言。自定義分組定義了以下功能:類型定義、枚舉類型、結(jié)構(gòu)、合并和靜態(tài)強(qiáng)制類型轉(zhuǎn)換casting)。下面的例子中使用了幾個(gè)System Verilog的數(shù)據(jù)類型。

bit x;enum {STATE1, STATE2, STATE3} state;typedef enum{ red=0,green,yellow } Colors;integer a,b; a=green*3 // 3賦值給a b = yellow+green;struct { bit [31:0] characteristic; bit [31:0] mantissa; } float_num;float_num.characteristic = 32’h1234_5678;float num.mantissa = 32‘h0000_0010;typedef union{ int u1; shortint u2;} my_union;

System Verilog 提供了強(qiáng)類型功能,以避免困擾 Verilog 設(shè)計(jì)的多種解譯和條件競(jìng)爭(zhēng)。端口連接SystemVerilog提供了“.name”和隱含的“.*”方法來描述端口連接,這大大壓縮了代碼長(zhǎng)度。公眾號(hào):OpenFPGA

/ / Verilogadder_add (a,b,sum);// SystemVerilogadder_add (.a,.b,.sum);adder_add (。 *);

interface 和 modportSystem Verilog中的interface(接口)和modport(模塊端口)說明模塊實(shí)例之間的端口列表和互連。下面給出一個(gè)簡(jiǎn)單的例子。

/ / 定 義 接 口interface adder_if; logic [7:0] a, b; logic [7:0] sum;endinterface: adder_if

module top;/ / 例 化 接 口 adder_if adder_if1(); adder_if adder_if2();/ / 將 接 口連接到模塊實(shí)例 adder add1(adder_if1); adder add2 (adder_if2);endmodulemodule adder(adder_if if);/ / 訪 問 接 口 assign if.sum = if.a + if.b;endmodule / / adder

面向?qū)ο缶幊蹋∣OP)System Verilog可以更好地支持面向?qū)ο缶幊蹋∣OP)。OOP通過建立帶自包含功能的數(shù)據(jù)結(jié)構(gòu)而提高了抽象層次,這種數(shù)據(jù)結(jié)構(gòu)允許數(shù)據(jù)封裝(encapsulation)隱藏實(shí)現(xiàn)細(xì)節(jié)和增強(qiáng)代碼的復(fù)用。

約束、覆蓋和隨機(jī)度System Verilog為覆蓋驅(qū)動(dòng)驗(yàn)證(coverage-driven verification)、定向(directed)和約束隨機(jī)測(cè)試平臺(tái)(constrained random testbench)開發(fā)提供了廣泛的支持。斷言斷言(assertion)通過在設(shè)計(jì)中設(shè)置多個(gè)觀測(cè)點(diǎn)進(jìn)行功能覆蓋。

通常,RTL設(shè)計(jì)者和驗(yàn)證工程師都會(huì)在設(shè)計(jì)中使用它。斷言既可以放在RTL代碼之內(nèi),也可以放在RTL代碼之外。放在里面使更新和管理更加容易,放在外面使代碼的可綜合和行為部分保持獨(dú)立。System Verilog提供斷言規(guī)范,可用于許多ASIC設(shè)計(jì)驗(yàn)證的環(huán)境中。

System Verilog斷言可用于設(shè)計(jì)的多個(gè)方面:變量說明、條件語(yǔ)句、內(nèi)部接口和狀態(tài)機(jī)。公眾號(hào):OpenFPGA斷言得到了一些商業(yè)模擬器的支持,如ModelSim和VCS。System Verilog斷言規(guī)范的一小部分子集支持可綜合斷言。遺憾的是,只有極少數(shù)的FPGA設(shè)計(jì)工具支持可綜合斷言。其中的一個(gè)是Synopsys Identify Pro,它具有斷言綜合和調(diào)試功能。

編輯:jq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21539

    瀏覽量

    600518
  • IEEE
    +關(guān)注

    關(guān)注

    7

    文章

    375

    瀏覽量

    46784
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1335

    瀏覽量

    109860
  • 代碼
    +關(guān)注

    關(guān)注

    30

    文章

    4697

    瀏覽量

    68085

原文標(biāo)題:Verilog 版本:Verilog-95、Verilog-2001與System Verilog區(qū)別簡(jiǎn)談

文章出處:【微信號(hào):Open_FPGA,微信公眾號(hào):OpenFPGA】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    如何利用Verilog-A開發(fā)器件模型

    Verilog-A對(duì)緊湊型模型的支持逐步完善,在模型的實(shí)現(xiàn)上扮演越來越重要的角色,已經(jīng)成為緊湊模型開發(fā)的新標(biāo)準(zhǔn)。而且Verilog-A能夠在抽象級(jí)別和應(yīng)用領(lǐng)域中擴(kuò)展SPICE建模和仿真功能,因此學(xué)會(huì)
    的頭像 發(fā)表于 10-18 14:16 ?106次閱讀
    如何利用<b class='flag-5'>Verilog</b>-A開發(fā)器件模型

    Verilog的版本有哪些

    電子發(fā)燒友網(wǎng)站提供《Verilog的版本有哪些.docx》資料免費(fèi)下載
    發(fā)表于 05-31 11:29 ?0次下載

    Verilog到VHDL轉(zhuǎn)換的經(jīng)驗(yàn)與技巧總結(jié)

    Verilog與VHDL語(yǔ)法是互通且相互對(duì)應(yīng)的,如何查看二者對(duì)同一硬件結(jié)構(gòu)的描述,可以借助EDA工具,如Vivado,打開Vivado后它里面的語(yǔ)言模板后,也可以對(duì)比查看Verilog和VHDL之間的差異。
    的頭像 發(fā)表于 04-28 17:47 ?1914次閱讀
    <b class='flag-5'>Verilog</b>到VHDL轉(zhuǎn)換的經(jīng)驗(yàn)與技巧總結(jié)

    verilog中input和output作用

    Verilog中,input和output用于定義模塊的輸入和輸出端口。它們是用于通信的關(guān)鍵元素,定義了模塊與其它模塊之間的數(shù)據(jù)傳輸接口。通過input和output端口,模塊之間可以互相傳遞數(shù)據(jù)
    的頭像 發(fā)表于 02-23 10:29 ?2555次閱讀

    verilog與其他編程語(yǔ)言的接口機(jī)制

    Verilog是一種硬件描述語(yǔ)言,用于描述數(shù)字電路的行為和結(jié)構(gòu)。與其他編程語(yǔ)言相比,Verilog具有與硬件緊密結(jié)合的特點(diǎn),因此其接口機(jī)制也有一些與眾不同之處。本文將詳細(xì)介紹Verilog與其他編程
    的頭像 發(fā)表于 02-23 10:22 ?545次閱讀

    verilog調(diào)用模塊端口對(duì)應(yīng)方式

    Verilog是一種硬件描述語(yǔ)言(HDL),廣泛應(yīng)用于數(shù)字電路設(shè)計(jì)和硬件驗(yàn)證。在Verilog中,模塊是構(gòu)建電路的基本單元,而模塊端口對(duì)應(yīng)方式則用于描述模塊之間信號(hào)傳遞的方式。本文將介紹
    的頭像 發(fā)表于 02-23 10:20 ?1364次閱讀

    verilog雙向端口的使用

    Verilog硬件描述語(yǔ)言中,端口是指連接模塊(Module)與其他模塊、寄存器或是物理設(shè)備的輸入或輸出接口。單向端口可以作為輸入或輸出使用,而雙向端口具有雙重作用,既可以接收輸入信號(hào),又可以輸出
    的頭像 發(fā)表于 02-23 10:18 ?1110次閱讀

    verilog中initial和always的區(qū)別

    Verilog是一種硬件描述語(yǔ)言(HDL),用于設(shè)計(jì)和模擬數(shù)字電路。在Verilog中,關(guān)鍵字initial和always都是用于描述電路行為的特殊語(yǔ)句。它們被用來生成仿真模型,并控制模擬器的啟動(dòng)
    的頭像 發(fā)表于 02-22 16:09 ?2118次閱讀

    verilog中for循環(huán)是串行執(zhí)行還是并行執(zhí)行

    Verilog中,for循環(huán)是并行執(zhí)行的。Verilog是一種硬件描述語(yǔ)言,用于描述和設(shè)計(jì)數(shù)字電路和系統(tǒng)。在硬件系統(tǒng)中,各個(gè)電路模塊是同時(shí)運(yùn)行的,并且可以并行執(zhí)行多個(gè)操作。因此,在Verilog
    的頭像 發(fā)表于 02-22 16:06 ?2422次閱讀

    verilog task和function區(qū)別

    verilog中的task和function都是用于實(shí)現(xiàn)模塊中的可重復(fù)的功能,并且可以接收參數(shù)和返回結(jié)果。但是它們?cè)诰帉懞褪褂蒙嫌幸恍?b class='flag-5'>區(qū)別。下面將詳細(xì)介紹task和function的區(qū)別。 語(yǔ)法結(jié)構(gòu)
    的頭像 發(fā)表于 02-22 15:53 ?887次閱讀

    verilog function函數(shù)的用法

    Verilog 是一種硬件描述語(yǔ)言 (HDL),主要用于描述數(shù)字電子電路的行為和結(jié)構(gòu)。在 Verilog 中,函數(shù) (Function) 是一種用于執(zhí)行特定任務(wù)并返回一個(gè)值的可重用代碼塊。函數(shù)在
    的頭像 發(fā)表于 02-22 15:49 ?4509次閱讀

    verilog同步和異步的區(qū)別 verilog阻塞賦值和非阻塞賦值的區(qū)別

    Verilog中同步和異步的區(qū)別,以及阻塞賦值和非阻塞賦值的區(qū)別。 一、Verilog中同步和異步的區(qū)別 同步傳輸和異步傳輸是指數(shù)據(jù)在電路中
    的頭像 發(fā)表于 02-22 15:33 ?1329次閱讀

    verilog的135個(gè)經(jīng)典實(shí)例

    verilog的135個(gè)經(jīng)典實(shí)例
    發(fā)表于 02-02 10:17 ?14次下載

    例說Verilog HDL和VHDL區(qū)別

    Verilog和VHDL之間區(qū)別將在本文中通過示例進(jìn)行詳細(xì)說明。對(duì)優(yōu)點(diǎn)和缺點(diǎn)的Verilog和VHDL進(jìn)行了討論。
    的頭像 發(fā)表于 12-20 09:03 ?2740次閱讀
    例說<b class='flag-5'>Verilog</b> HDL和VHDL<b class='flag-5'>區(qū)別</b>

    講一講芯片設(shè)計(jì)中的verilog是什么

    相信不少人都聽過verilog這個(gè)詞,今天我就想講一講我所理解的verilog是什么。
    的頭像 發(fā)表于 12-04 13:52 ?1006次閱讀