0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

STM32開發(fā)中使用C語言實現(xiàn)IIC驅動

STM32嵌入式開發(fā) ? 來源:CSDN技術社區(qū) ? 作者:tutu-hu ? 2021-06-21 14:58 ? 次閱讀

簡述

IIC(Inter-Integrated Circuit)其實是IICBus簡稱,它是一種串行通信總線,使用多主從架構,在STM32開發(fā)中經(jīng)常見到。

使用面向對象的編程思想封裝IIC驅動,將IIC的屬性和操作封裝成一個庫,在需要創(chuàng)建一個IIC設備時只需要實例化一個IIC對象即可,本文是基于STM32和HAL庫做進一步封裝的。

底層驅動方法不重要,封裝的思想很重要。在完成對IIC驅動的封裝之后借助繼承特性實現(xiàn)AT24C64存儲器的驅動開發(fā),仍使用面向對象的思想封裝AT24C64驅動。

IIC驅動面向對象封裝

iic.h頭文件主要是類模板的定義,具體如下:

//定義IIC類typedef struct IIC_Type{ //屬性 GPIO_TypeDef *GPIOx_SCL; //GPIO_SCL所屬的GPIO組(如:GPIOA) GPIO_TypeDef *GPIOx_SDA; //GPIO_SDA所屬的GPIO組(如:GPIOA) uint32_t GPIO_SCL; //GPIO_SCL的IO引腳(如:GPIO_PIN_0) uint32_t GPIO_SDA; //GPIO_SDA的IO引腳(如:GPIO_PIN_0) //操作 void (*IIC_Init)(const struct IIC_Type*); //IIC_Init void (*IIC_Start)(const struct IIC_Type*); //IIC_Start void (*IIC_Stop)(const struct IIC_Type*); //IIC_Stop uint8_t (*IIC_Wait_Ack)(const struct IIC_Type*); //IIC_Wait_ack,返回wait失敗或是成功 void (*IIC_Ack)(const struct IIC_Type*); //IIC_Ack,IIC發(fā)送ACK信號 void (*IIC_NAck)(const struct IIC_Type*); //IIC_NAck,IIC發(fā)送NACK信號 void (*IIC_Send_Byte)(const struct IIC_Type*,uint8_t); //IIC_Send_Byte,入口參數(shù)為要發(fā)送的字節(jié) uint8_t (*IIC_Read_Byte)(const struct IIC_Type*,uint8_t); //IIC_Send_Byte,入口參數(shù)為是否要發(fā)送ACK信號 void (*delay_us)(uint32_t); //us延時}IIC_TypeDef;

iic.c源文件主要是類模板具體操作函數(shù)的實現(xiàn),具體如下:

//設置SDA為輸入模式static void SDA_IN(const struct IIC_Type* IIC_Type_t){ uint8_t io_num = 0; //定義io Num號 switch(IIC_Type_t-》GPIO_SDA) { case GPIO_PIN_0: io_num = 0; break; case GPIO_PIN_1: io_num = 1; break; case GPIO_PIN_2: io_num = 2; break; case GPIO_PIN_3: io_num = 3; break; case GPIO_PIN_4: io_num = 4; break; case GPIO_PIN_5: io_num = 5; break; case GPIO_PIN_6: io_num = 6; break; case GPIO_PIN_7: io_num = 7; break; case GPIO_PIN_8: io_num = 8; break; case GPIO_PIN_9: io_num = 9; break; case GPIO_PIN_10: io_num = 10; break; case GPIO_PIN_11: io_num = 11; break; case GPIO_PIN_12: io_num = 12; break; case GPIO_PIN_13: io_num = 13; break; case GPIO_PIN_14: io_num = 14; break; case GPIO_PIN_15: io_num = 15; break; } IIC_Type_t-》GPIOx_SDA-》MODER&=~(3《《(io_num*2)); //將GPIOx_SDA-》GPIO_SDA清零 IIC_Type_t-》GPIOx_SDA-》MODER|=0《《(io_num*2); //將GPIOx_SDA-》GPIO_SDA設置為輸入模式}

//設置SDA為輸出模式static void SDA_OUT(const struct IIC_Type* IIC_Type_t){ uint8_t io_num = 0; //定義io Num號 switch(IIC_Type_t-》GPIO_SDA) { case GPIO_PIN_0: io_num = 0; break; case GPIO_PIN_1: io_num = 1; break; case GPIO_PIN_2: io_num = 2; break; case GPIO_PIN_3: io_num = 3; break; case GPIO_PIN_4: io_num = 4; break; case GPIO_PIN_5: io_num = 5; break; case GPIO_PIN_6: io_num = 6; break; case GPIO_PIN_7: io_num = 7; break; case GPIO_PIN_8: io_num = 8; break; case GPIO_PIN_9: io_num = 9; break; case GPIO_PIN_10: io_num = 10; break; case GPIO_PIN_11: io_num = 11; break; case GPIO_PIN_12: io_num = 12; break; case GPIO_PIN_13: io_num = 13; break; case GPIO_PIN_14: io_num = 14; break; case GPIO_PIN_15: io_num = 15; break; } IIC_Type_t-》GPIOx_SDA-》MODER&=~(3《《(io_num*2)); //將GPIOx_SDA-》GPIO_SDA清零 IIC_Type_t-》GPIOx_SDA-》MODER|=1《《(io_num*2); //將GPIOx_SDA-》

GPIO_SDA設置為輸出模式}//設置SCL電平static void IIC_SCL(const struct IIC_Type* IIC_Type_t,int n){ if(n == 1) { HAL_GPIO_WritePin(IIC_Type_t-》GPIOx_SCL,IIC_Type_t-》GPIO_SCL,GPIO_PIN_SET); //設置SCL為高電平 } else{ HAL_GPIO_WritePin(IIC_Type_t-》GPIOx_SCL,IIC_Type_t-》GPIO_SCL,GPIO_PIN_RESET); //設置SCL為低電平 }}//設置SDA電平static void IIC_SDA(const struct IIC_Type* IIC_Type_t,int n){ if(n == 1) { HAL_GPIO_WritePin(IIC_Type_t-》GPIOx_SDA,IIC_Type_t-》GPIO_SDA,GPIO_PIN_SET); //設置SDA為高電平 } else{ HAL_GPIO_WritePin(IIC_Type_t-》GPIOx_SDA,IIC_Type_t-》GPIO_SDA,GPIO_PIN_RESET); //設置SDA為低電平 }}//

讀取SDA電平static uint8_t READ_SDA(const struct IIC_Type* IIC_Type_t){ return HAL_GPIO_ReadPin(IIC_Type_t-》GPIOx_SDA,IIC_Type_t-》GPIO_SDA); //讀取SDA電平}//IIC初始化static void IIC_Init_t(const struct IIC_Type* IIC_Type_t){ GPIO_InitTypeDef GPIO_Initure; //根據(jù)GPIO組初始化GPIO時鐘 if(IIC_Type_t-》GPIOx_SCL == GPIOA || IIC_Type_t-》GPIOx_SDA == GPIOA) { __HAL_RCC_GPIOA_CLK_ENABLE(); //使能GPIOA時鐘 } if(IIC_Type_t-》GPIOx_SCL == GPIOB || IIC_Type_t-》GPIOx_SDA == GPIOB) { __HAL_RCC_GPIOB_CLK_ENABLE(); //

使能GPIOB時鐘 } if(IIC_Type_t-》GPIOx_SCL == GPIOC || IIC_Type_t-》GPIOx_SDA == GPIOC) { __HAL_RCC_GPIOC_CLK_ENABLE(); //使能GPIOC時鐘 } if(IIC_Type_t-》GPIOx_SCL == GPIOD || IIC_Type_t-》GPIOx_SDA == GPIOD) { __HAL_RCC_GPIOD_CLK_ENABLE(); //使能GPIOD時鐘 } if(IIC_Type_t-》GPIOx_SCL == GPIOE || IIC_Type_t-》GPIOx_SDA == GPIOE) { __HAL_RCC_GPIOE_CLK_ENABLE(); //使能GPIOE時鐘 } if(IIC_Type_t-》GPIOx_SCL == GPIOH || IIC_Type_t-》GPIOx_SDA == GPIOH) { __HAL_RCC_GPIOH_CLK_ENABLE(); //使能GPIOH時鐘 } //GPIO_SCL初始化設置 GPIO_Initure.Pin=IIC_Type_t-》GPIO_SCL; GPIO_Initure.Mode=GPIO_MODE_OUTPUT_PP; //

推挽輸出 GPIO_Initure.Pull=GPIO_PULLUP; //上拉 GPIO_Initure.Speed=GPIO_SPEED_FREQ_VERY_HIGH; //快速 HAL_GPIO_Init(IIC_Type_t-》GPIOx_SCL,&GPIO_Initure); //GPIO_SDA初始化設置 GPIO_Initure.Pin=IIC_Type_t-》GPIO_SDA; GPIO_Initure.Mode=GPIO_MODE_OUTPUT_PP; //推挽輸出 GPIO_Initure.Pull=GPIO_PULLUP; //上拉 GPIO_Initure.Speed=GPIO_SPEED_FREQ_VERY_HIGH; //快速 HAL_GPIO_Init(IIC_Type_t-》GPIOx_SDA,&GPIO_Initure); //SCL與SDA的初始化均為高電平 IIC_SCL(IIC_Type_t,1); IIC_SDA(IIC_Type_t,1);}//IIC Startstatic void IIC_Start_t(const struct IIC_Type* IIC_Type_t){ SDA_OUT(IIC_Type_t); //sda線輸出 IIC_SDA(IIC_Type_t,1); IIC_SCL(IIC_Type_t,1); IIC_Type_t-》delay_us(4); IIC_SDA(IIC_Type_t,0); //START:when CLK is high,DATA change form high to low IIC_Type_t-》delay_us(4); IIC_SCL(IIC_Type_t,0); //鉗住I2C總線,準備發(fā)送或接收數(shù)據(jù) }//IIC Stopstatic void IIC_Stop_t(const struct IIC_Type* IIC_Type_t){ SDA_OUT(IIC_Type_t); //sda線輸出 IIC_SCL(IIC_Type_t,0); IIC_SDA(IIC_Type_t,0); //STOP:when CLK is high DATA change form low to high IIC_Type_t-》delay_us(4); IIC_SCL(IIC_Type_t,1); IIC_SDA(IIC_Type_t,1); //發(fā)送I2C總線結束信號 IIC_Type_t-》delay_us(4); }//IIC_Wait_ack 返回HAL_OK表示wait成功,返回HAL_ERROR表示wait失敗static uint8_t IIC_Wait_Ack_t(const struct IIC_Type* IIC_Type_t) //IIC_Wait_ack,返回wait失敗或是成功{ uint8_t ucErrTime = 0; SDA_IN(IIC_Type_t); //

SDA設置為輸入 IIC_SDA(IIC_Type_t,1);IIC_Type_t-》delay_us(1); IIC_SCL(IIC_Type_t,1);IIC_Type_t-》delay_us(1); while(READ_SDA(IIC_Type_t)) { ucErrTime++; if(ucErrTime》250) { IIC_Type_t-》IIC_Stop(IIC_Type_t); return HAL_ERROR; } } IIC_SCL(IIC_Type_t,0);//時鐘輸出0 return HAL_OK; }//產(chǎn)生ACK應答static void IIC_Ack_t(const struct IIC_Type* IIC_Type_t) { IIC_SCL(IIC_Type_t,0); SDA_OUT(IIC_Type_t); IIC_SDA(IIC_Type_t,0); IIC_Type_t-》delay_us(2); IIC_SCL(IIC_Type_t,1); IIC_Type_t-》delay_us(2); IIC_SCL(IIC_Type_t,0);}//產(chǎn)生NACK應答static void IIC_NAck_t(const struct IIC_Type* IIC_Type_t) { IIC_SCL(IIC_Type_t,0); SDA_OUT(IIC_Type_t); IIC_SDA(IIC_Type_t,1); IIC_Type_t-》delay_us(2); IIC_SCL(IIC_Type_t,1); IIC_Type_t-》delay_us(2); IIC_SCL(IIC_Type_t,0);}//IIC_Send_Byte,

入口參數(shù)為要發(fā)送的字節(jié)static void IIC_Send_Byte_t(const struct IIC_Type* IIC_Type_t,uint8_t txd) { uint8_t t = 0; SDA_OUT(IIC_Type_t); IIC_SCL(IIC_Type_t,0);//拉低時鐘開始數(shù)據(jù)傳輸 for(t=0;t《8;t++) { IIC_SDA(IIC_Type_t,(txd&0x80)》》7); txd 《《= 1; IIC_Type_t-》delay_us(2); //對TEA5767這三個延時都是必須的 IIC_SCL(IIC_Type_t,1); IIC_Type_t-》delay_us(2); IIC_SCL(IIC_Type_t,0); IIC_Type_t-》delay_us(2); } }//IIC_Send_Byte,入口參數(shù)為是否要發(fā)送ACK信號static uint8_t IIC_Read_Byte_t(const struct IIC_Type* IIC_Type_t,uint8_t ack) { uint8_t i,receive = 0; SDA_IN(IIC_Type_t);//SDA設置為輸入 for(i=0;i《8;i++ ) { IIC_SCL(IIC_Type_t,0); IIC_Type_t-》delay_us(2); IIC_SCL(IIC_Type_t,1); receive《《=1; if(READ_SDA(IIC_Type_t))receive++; IIC_Type_t-》delay_us(1); } if (!ack) IIC_Type_t-》IIC_NAck(IIC_Type_t);//發(fā)送nACK else IIC_Type_t-》IIC_Ack(IIC_Type_t); //發(fā)送ACK return receive;}//實例化一個IIC1外設,相當于一個結構體變量,可以直接在其他文件中使用IIC_TypeDef IIC1 = { .GPIOx_SCL = GPIOA, //GPIO組為GPIOA .GPIOx_SDA = GPIOA, //GPIO組為GPIOA .GPIO_SCL = GPIO_PIN_5, //GPIO為PIN5 .GPIO_SDA = GPIO_PIN_6, //GPIO為PIN6 .IIC_Init = IIC_Init_t, .IIC_Start = IIC_Start_t, .IIC_Stop = IIC_Stop_t, .IIC_Wait_Ack = IIC_Wait_Ack_t, .IIC_Ack = IIC_Ack_t, .IIC_NAck = IIC_NAck_t, .IIC_Send_Byte = IIC_Send_Byte_t, .IIC_Read_Byte = IIC_Read_Byte_t, .delay_us = delay_us //需自己外部實現(xiàn)delay_us函數(shù)};

上述就是IIC驅動的封裝,由于沒有應用場景暫不測試其實用性,待下面ATC64的驅動縫縫扎黃寫完之后一起測試使用。

ATC64XX驅動封裝實現(xiàn)

at24cxx.h頭文件主要是類模板的定義,具體如下:

// 以下是共定義個具體容量存儲器的容量#define AT24C01 127#define AT24C02 255#define AT24C04 511#define AT24C08 1023#define AT24C16 2047#define AT24C32 4095#define AT24C64 8191 //8KBytes#define AT24C128 16383#define AT24C256 32767

//定義AT24CXX類typedef struct AT24CXX_Type{ //屬性 u32 EEP_TYPE; //存儲器類型(存儲器容量) //操作 IIC_TypeDef IIC; //IIC驅動 uint8_t (*AT24CXX_ReadOneByte)(const struct AT24CXX_Type*,uint16_t); //指定地址讀取一個字節(jié) void (*AT24CXX_WriteOneByte)(const struct AT24CXX_Type*,uint16_t,uint8_t); //指定地址寫入一個字節(jié) void (*AT24CXX_WriteLenByte)(uint16_t,uint32_t,uint8_t); //指定地址開始寫入指定長度的數(shù)據(jù) uint32_t (*AT24CXX_ReadLenByte)(uint16_t,uint8_t); //指定地址開始讀取指定長度數(shù)據(jù) void (*AT24CXX_Write)(uint16_t,uint8_t *,uint16_t); //指定地址開始寫入指定長度的數(shù)據(jù) void (*AT24CXX_Read)(uint16_t,uint8_t *,uint16_t); //指定地址開始寫入指定長度的數(shù)據(jù) void (*AT24CXX_Init)(const struct AT24CXX_Type*); //初始化IIC uint8_t (*AT24CXX_Check)(const struct AT24CXX_Type*); //檢查器件}AT24CXX_TypeDef;

extern AT24CXX_TypeDef AT24C_64; //外部聲明實例化AT24CXX對象

at24cxx.c源文件主要是類模板具體操作函數(shù)的實現(xiàn),具體如下:

//在AT24CXX指定地址讀出一個數(shù)據(jù)//ReadAddr:開始讀數(shù)的地址 //返回值 :讀到的數(shù)據(jù)static uint8_t AT24CXX_ReadOneByte_t(const struct AT24CXX_Type* AT24CXX_Type_t,uint16_t ReadAddr){ uint8_t temp=0; AT24CXX_Type_t-》IIC.IIC_Start(&AT24CXX_Type_t-》IIC); //根據(jù)AT的型號發(fā)送不同的地址 if(AT24CXX_Type_t-》EEP_TYPE 》 AT24C16) { AT24CXX_Type_t-》IIC.IIC_Send_Byte(&AT24CXX_Type_t-》IIC,0XA0); //發(fā)送寫命令 AT24CXX_Type_t-》IIC.IIC_Wait_Ack(&AT24CXX_Type_t-》IIC); AT24CXX_Type_t-》IIC.IIC_Send_Byte(&AT24CXX_Type_t-》IIC,ReadAddr》》8);//發(fā)送高地址 }else AT24CXX_Type_t-》IIC.IIC_Send_Byte(&AT24CXX_Type_t-》IIC,0XA0+((ReadAddr/256)《《1)); //發(fā)送器件地址0XA0,寫數(shù)據(jù) AT24CXX_Type_t-》IIC.IIC_Wait_Ack(&AT24CXX_Type_t-》IIC); AT24CXX_Type_t-》IIC.IIC_Send_Byte(&AT24CXX_Type_t-》IIC,ReadAddr%256); //發(fā)送低地址 AT24CXX_Type_t-》IIC.IIC_Wait_Ack(&AT24CXX_Type_t-》IIC); AT24CXX_Type_t-》IIC.IIC_Start(&AT24CXX_Type_t-》IIC); AT24CXX_Type_t-》IIC.IIC_Send_Byte(&AT24CXX_Type_t-》IIC,0XA1); //進入接收模式 AT24CXX_Type_t-》IIC.IIC_Wait_Ack(&AT24CXX_Type_t-》IIC); temp=AT24CXX_Type_t-》IIC.IIC_Read_Byte(&AT24CXX_Type_t-》IIC,0); AT24CXX_Type_t-》IIC.IIC_Stop(&AT24CXX_Type_t-》IIC);//產(chǎn)生一個停止條件 return temp;}//在AT24CXX指定地址寫入一個數(shù)據(jù)//WriteAddr :寫入數(shù)據(jù)的目的地址 //DataToWrite:要寫入的數(shù)據(jù)static void AT24CXX_WriteOneByte_t(const struct AT24CXX_Type* AT24CXX_Type_t,uint16_t WriteAddr,uint8_t DataToWrite){ AT24CXX_Type_t-》IIC.IIC_Start(&AT24CXX_Type_t-》IIC); if(AT24CXX_Type_t-》EEP_TYPE 》 AT24C16) { AT24CXX_Type_t-》IIC.IIC_Send_Byte(&AT24CXX_Type_t-》IIC,0XA0); //發(fā)送寫命令 AT24CXX_Type_t-》IIC.IIC_Wait_Ack(&AT24CXX_Type_t-》IIC); AT24CXX_Type_t-》IIC.IIC_Send_Byte(&AT24CXX_Type_t-》IIC,WriteAddr》》8);//發(fā)送高地址 }else AT24CXX_Type_t-》IIC.IIC_Send_Byte(&AT24CXX_Type_t-》IIC,0XA0+((WriteAddr/256)《《1)); //發(fā)送器件地址0XA0,寫數(shù)據(jù) AT24CXX_Type_t-》IIC.IIC_Wait_Ack(&AT24CXX_Type_t-》IIC); AT24CXX_Type_t-》IIC.IIC_Send_Byte(&AT24CXX_Type_t-》IIC,WriteAddr%256); //發(fā)送低地址 AT24CXX_Type_t-》IIC.IIC_Wait_Ack(&AT24CXX_Type_t-》IIC); AT24CXX_Type_t-》IIC.IIC_Send_Byte(&AT24CXX_Type_t-》IIC,DataToWrite); //發(fā)送字節(jié) AT24CXX_Type_t-》IIC.IIC_Wait_Ack(&AT24CXX_Type_t-》IIC); AT24CXX_Type_t-》IIC.IIC_Stop(&AT24CXX_Type_t-》IIC);//產(chǎn)生一個停止條件 AT24CXX_Type_t-》IIC.delay_us(10000); }//在AT24CXX里面的指定地址開始寫入長度為Len的數(shù)據(jù)//該函數(shù)用于寫入16bit或者32bit的數(shù)據(jù)。//WriteAddr :開始寫入的地址 //DataToWrite:數(shù)據(jù)數(shù)組首地址//Len :要寫入數(shù)據(jù)的長度2,4static void AT24CXX_WriteLenByte_t(uint16_t WriteAddr,uint32_t DataToWrite,uint8_t Len){ uint8_t t; for(t=0;t《Len;t++) { AT24CXX_WriteOneByte(WriteAddr+t,(DataToWrite》》(8*t))&0xff); } }//在AT24CXX里面的指定地址開始讀出長度為Len的數(shù)據(jù)//該函數(shù)用于讀出16bit或者32bit的數(shù)據(jù)。//ReadAddr :開始讀出的地址 //返回值 :數(shù)據(jù)//Len :要讀出數(shù)據(jù)的長度2,4static uint32_t AT24CXX_ReadLenByte_t(uint16_t ReadAddr,uint8_t Len){ uint8_t t; uint32_t temp=0; for(t=0;t《Len;t++) { temp《《=8; temp+=AT24CXX_ReadOneByte(ReadAddr+Len-t-1); } return temp; }//在AT24CXX里面的指定地址開始寫入指定個數(shù)的數(shù)據(jù)//WriteAddr :開始寫入的地址 對24c64為0~8191//pBuffer :數(shù)據(jù)數(shù)組首地址//NumToWrite:要寫入數(shù)據(jù)的個數(shù)static void AT24CXX_Write_t(uint16_t WriteAddr,uint8_t *pBuffer,uint16_t NumToWrite){ while(NumToWrite--) { AT24CXX_WriteOneByte(WriteAddr,*pBuffer); WriteAddr++; pBuffer++; }}//在AT24CXX里面的指定地址開始讀出指定個數(shù)的數(shù)據(jù)//ReadAddr :開始讀出的地址 對24c64為0~8191//pBuffer :數(shù)據(jù)數(shù)組首地址//NumToRead:要讀出數(shù)據(jù)的個數(shù)static void AT24CXX_Read_t(uint16_t ReadAddr,uint8_t *pBuffer,uint16_t NumToRead){ while(NumToRead) { *pBuffer++=AT24CXX_ReadOneByte(ReadAddr++); NumToRead--; }} //初始化IIC接口static void AT24CXX_Init_t(const struct AT24CXX_Type* AT24CXX_Type_t){ AT24CXX_Type_t-》IIC.IIC_Init(&AT24CXX_Type_t-》IIC);//IIC初始化}//檢查器件,返回0表示檢測成功,返回1表示檢測失敗static uint8_t AT24CXX_Check_t(const struct AT24CXX_Type* AT24CXX_Type_t) { uint8_t temp; temp = AT24CXX_Type_t-》AT24CXX_ReadOneByte(AT24CXX_Type_t,AT24CXX_Type_t-》EEP_TYPE);//避免每次開機都寫AT24CXX if(temp == 0X33)return 0; else//排除第一次初始化的情況 { AT24CXX_Type_t-》AT24CXX_WriteOneByte(AT24CXX_Type_t,AT24CXX_Type_t-》EEP_TYPE,0X33); temp = AT24CXX_Type_t-》AT24CXX_ReadOneByte(AT24CXX_Type_t,AT24CXX_Type_t-》EEP_TYPE); if(temp==0X33)return 0; } return 1; }//實例化AT24CXX對象AT24CXX_TypeDef AT24C_64={ .EEP_TYPE = AT24C64, //存儲器類型(存儲器容量) //操作 .IIC={ .GPIOx_SCL = GPIOA, .GPIOx_SDA = GPIOA, .GPIO_SCL = GPIO_PIN_5, .GPIO_SDA = GPIO_PIN_6, .IIC_Init = IIC_Init_t, .IIC_Start = IIC_Start_t, .IIC_Stop = IIC_Stop_t, .IIC_Wait_Ack = IIC_Wait_Ack_t, .IIC_Ack = IIC_Ack_t, .IIC_NAck = IIC_NAck_t, .IIC_Send_Byte = IIC_Send_Byte_t, .IIC_Read_Byte = IIC_Read_Byte_t, .delay_us = delay_us }, //IIC驅動 .AT24CXX_ReadOneByte = AT24CXX_ReadOneByte_t, //指定地址讀取一個字節(jié) .AT24CXX_WriteOneByte = AT24CXX_WriteOneByte_t,//指定地址寫入一個字節(jié) .AT24CXX_WriteLenByte = AT24CXX_WriteLenByte_t, //指定地址開始寫入指定長度的數(shù)據(jù) .AT24CXX_ReadLenByte = AT24CXX_ReadLenByte_t, //指定地址開始讀取指定長度數(shù)據(jù) .AT24CXX_Write = AT24CXX_Write_t, //指定地址開始寫入指定長度的數(shù)據(jù) .AT24CXX_Read = AT24CXX_Read_t, //指定地址開始讀取指定長度的數(shù)據(jù) .AT24CXX_Init = AT24CXX_Init_t, //初始化IIC .AT24CXX_Check = AT24CXX_Check_t //檢查器件};

簡單分析:可以看出AT24CXX類中包含了IIC類的成員對象,這是一種包含關系,因為沒有屬性上的一致性因此談不上繼承。

之所以將IIC的類對象作為AT24CXX類的成員是因為AT24CXX的實現(xiàn)需要調用IIC的成員方法,IIC相當于AT24CXX更下層的驅動,因此采用包含關系更合適。

因此我們在使用AT24CXX的時候只需要實例化AT24CXX類對象就行了,因為IIC包含在AT24CXX類中間,因此不需要實例化IIC類對象,對外提供了較好的封裝接口。下面我們看具體的調用方法。

主函數(shù)main調用測試

在main函數(shù)中直接使用AT24C_64來完成所有操作,下面結合代碼來看:

#include “at24cxx.h” //為了確定AT24C_64的成員方法和引用操作對象AT24C_64int main(void){ /************省略其他初始化工作****************/ //第一步:調用對象初始化方法來初始化AT24C64 AT24C_64.AT24CXX_Init(&AT24C_64); //第二步:調用對象檢測方法來檢測AT24C64 if(AT24C_64.AT24CXX_Check(&AT24C_64) == 0) { printf(“AT24C64檢測成功

”); } else{ printf(“AT24C64檢測失敗

”); } return 0;}

可以看出所有的操作都是通過AT24C_64對象調用完成的,在我們初始化好AT24C_64對象之后就可以放心大膽的調用其成員方法,這樣封裝的好處就是一個設備對外只提供一個對象接口,簡潔明了。

總結

本文詳細介紹了面向對象方法實現(xiàn)IIC驅動封裝以及AT24CXX存儲器的封裝,最終對外僅提供一個操作對象接口,大大提高了代碼的復用性以及封裝性。

編輯:jq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 存儲器
    +關注

    關注

    38

    文章

    7403

    瀏覽量

    163394
  • 封裝
    +關注

    關注

    126

    文章

    7650

    瀏覽量

    142460
  • IIC
    IIC
    +關注

    關注

    11

    文章

    293

    瀏覽量

    38206
  • 代碼
    +關注

    關注

    30

    文章

    4697

    瀏覽量

    68084
  • GPIO
    +關注

    關注

    16

    文章

    1182

    瀏覽量

    51739

原文標題:STM32開發(fā)中使用C語言實現(xiàn)IIC驅動

文章出處:【微信號:c-stm32,微信公眾號:STM32嵌入式開發(fā)】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    如何用C語言實現(xiàn)高效查找(二分法)

    今天給分享一下使用C語言實現(xiàn)二分算法,主要包含以下幾部分內(nèi)容:二分查找算法介紹二分查找算法使用場景二分查找算法代碼實現(xiàn)二分查找算法實現(xiàn)過程用C
    的頭像 發(fā)表于 06-04 08:04 ?826次閱讀
    如何用<b class='flag-5'>C</b><b class='flag-5'>語言實現(xiàn)</b>高效查找(二分法)

    使用C語言實現(xiàn)的CRC計算單元的例子

    使用C語言實現(xiàn)的CRC計算單元的例子
    的頭像 發(fā)表于 05-16 16:16 ?747次閱讀

    C語言實現(xiàn)Web參數(shù)傳遞

    電子發(fā)燒友網(wǎng)站提供《C語言實現(xiàn)Web參數(shù)傳遞.docx》資料免費下載
    發(fā)表于 03-24 09:14 ?2次下載

    GitHub Copilot+ESP開發(fā)實戰(zhàn)-串口

    上篇文章講了GitHubCopilot在應用中可能遇到的問題,接下來小啟就簡單介紹下GitHubCopilot在ESP32開發(fā)C語言實現(xiàn)串口功能,感興趣的可以看看。一、向Copilot提問
    的頭像 發(fā)表于 03-16 08:03 ?385次閱讀
    GitHub Copilot+ESP<b class='flag-5'>開發(fā)</b>實戰(zhàn)-串口

    鴻蒙next開發(fā)-OpenHarmony的NDK開發(fā)

    Native API是OpenHarmony SDK上提供的一組native開發(fā)接口與工具集合(也稱為NDK),方便開發(fā)者使用C或者C++語言實現(xiàn)
    的頭像 發(fā)表于 01-20 11:35 ?1641次閱讀
    鴻蒙next<b class='flag-5'>開發(fā)</b>-OpenHarmony的NDK<b class='flag-5'>開發(fā)</b>

    ADUC7061如何使用C語言實現(xiàn)EEPROM功能?

    我使用ADUC7061做的信號采集,現(xiàn)在客戶需要實現(xiàn)EEPROM功能來保存3-5個數(shù)據(jù),請問如何使用C語言實現(xiàn)?不使用外部EEPROM 專用IC。
    發(fā)表于 01-12 06:56

    如何在Saber中使C語言進行建模呢?

    Saber不僅支持MAST語言和VHDL‐AMS語言建立模型,也支持C語言建立器件模型,這對熟悉C語言
    的頭像 發(fā)表于 12-05 11:30 ?1011次閱讀
    如何在Saber<b class='flag-5'>中使</b>用<b class='flag-5'>C</b><b class='flag-5'>語言</b>進行建模呢?

    你用過哪些編程語言開發(fā)單片機?

    C語言是最常用的一種用于單片機的開發(fā)語言,也是一種高級編程語言,具有較好的可移植性和可讀性。對于單片機,通常使用嵌入式
    發(fā)表于 12-04 10:18 ?1147次閱讀

    常用的c語言開發(fā)環(huán)境有哪些

    C語言是一種廣泛應用于系統(tǒng)編程、嵌入式開發(fā)和科學計算等領域的高級編程語言。為了能夠高效地開發(fā)C
    的頭像 發(fā)表于 11-27 16:14 ?5301次閱讀

    嵌入式C語言的結構特點

    嵌入式開發(fā)中既有底層硬件的開發(fā)又涉及上層應用的開發(fā),即涉及系統(tǒng)的硬件和軟件,C語言既具有匯編語言
    的頭像 發(fā)表于 11-24 16:16 ?586次閱讀
    嵌入式<b class='flag-5'>C</b><b class='flag-5'>語言</b>的結構特點

    C語言實用程序150例

    電子發(fā)燒友網(wǎng)站提供《C語言實用程序150例.rar》資料免費下載
    發(fā)表于 11-20 11:37 ?1次下載
    <b class='flag-5'>C</b><b class='flag-5'>語言實</b>用程序150例

    STM32的lcd屏的界面開發(fā)可以不用c語言實現(xiàn)嗎?

    STM32的lcd屏的界面開發(fā)可以不用c語言實現(xiàn)嗎?
    發(fā)表于 11-09 07:12

    基于VHDL語言實現(xiàn)遠程防盜報警設計

    電子發(fā)燒友網(wǎng)站提供《基于VHDL語言實現(xiàn)遠程防盜報警設計.pdf》資料免費下載
    發(fā)表于 11-08 14:33 ?0次下載
    基于VHDL<b class='flag-5'>語言實現(xiàn)</b>遠程防盜報警設計

    STM32基礎知識:IIC總線操作EEPROM存儲模塊AT24C02

    本文是IIC總線的實際應用,將帶領讀者一步一步閱讀AT24C02數(shù)據(jù)手冊,看時序圖了解如何使用IIC接口EEPROM存儲模塊AT24C02,并編寫代碼使用
    的頭像 發(fā)表于 10-26 14:25 ?2142次閱讀
    <b class='flag-5'>STM32</b>基礎知識:<b class='flag-5'>IIC</b>總線操作EEPROM存儲模塊AT24<b class='flag-5'>C</b>02

    ARM-Linux-IIC設備的添加與驅動實現(xiàn)

    電子發(fā)燒友網(wǎng)站提供《ARM-Linux-IIC設備的添加與驅動實現(xiàn).pdf》資料免費下載
    發(fā)表于 10-24 09:55 ?0次下載
    ARM-Linux-<b class='flag-5'>IIC</b>設備的添加與<b class='flag-5'>驅動</b><b class='flag-5'>實現(xiàn)</b>