0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

賽靈思Vivado ML版優(yōu)化應(yīng)用設(shè)計

YCqV_FPGA_EETre ? 來源:FPGA開發(fā)圈 ? 作者:FPGA開發(fā)圈 ? 2021-07-02 16:40 ? 次閱讀

賽靈思近日宣布推出 Vivado ML 版,這是業(yè)內(nèi)首個基于機器學(xué)習(xí)(ML )優(yōu)化算法以及先進的面向團隊協(xié)作的設(shè)計流程打造的 FPGA EDA 工具套件,可以顯著節(jié)省設(shè)計時間與成本,與目前的 Vivado HLx 版本相比,Vivado ML 版將復(fù)雜設(shè)計的編譯時間縮短了 5 倍,同時還提供了突破性的平均達 10% 的結(jié)果質(zhì)量( QoR )提升。

Vivado ML 概述

1、基于 ML 的設(shè)計優(yōu)化

加速設(shè)計收斂

與當(dāng)前的 Vivado HLx 版本相比,全新w Vivado ML 版本在復(fù)雜設(shè)計上實現(xiàn)了高達 50%(平均 10%)的突破性結(jié)果質(zhì)量 (QoR) 提升。

基于 ML 的邏輯優(yōu)化、擁塞估計、延遲估計和智能設(shè)計運行等新功能和算法有助于自動化策略以減少時序收斂迭代。

2、協(xié)同設(shè)計環(huán)境

提升生產(chǎn)力

使用 Vivado IP Integrator 改進協(xié)作設(shè)計,使用全新的“塊設(shè)計容器”功能實現(xiàn)模塊化設(shè)計。

推廣基于團隊的設(shè)計方法,并允許采用分而治之的策略來處理具有多站點協(xié)作的大型設(shè)計。

3、全新高級 DFX 功能

編譯時間減少

Xilinx 引入了抽象 Shell 的概念,允許用戶在系統(tǒng)中定義多個模塊以進行增量和并行編譯。

與傳統(tǒng)的完整系統(tǒng)編譯相比,此功能可將平均編譯時間縮短 5 倍,最多可縮短 17 倍。

Abstract Shell 通過將設(shè)計細節(jié)隱藏在模塊之外來幫助保護客戶的 IP

功能介紹

1、高層次設(shè)計

Vivado IP Integrator 可提供基于 Tcl、設(shè)計期正確的圖形化設(shè)計開發(fā)流程。設(shè)計團隊在接口層面上工作,能快速組裝復(fù)雜系統(tǒng),充分利用 Vitis HLS、Vitis Model Composer、Xilinx IP、聯(lián)盟成員 IP 和自己的 IP。通過利用全新提升的 Vivado IPI 和 HLS 的完美組合,客戶能將開發(fā)成本相對于采用 RTL 方式而言節(jié)約高達 15 倍。

2、驗證

應(yīng)對當(dāng)前復(fù)雜器件的驗證挑戰(zhàn),需要在各種設(shè)計層面上應(yīng)用大量工具及技術(shù)。Vivado 設(shè)計套件在緊密結(jié)合的環(huán)境中提供這些工具和技術(shù),從而可加速模塊及芯片級設(shè)計的驗證。

3、實現(xiàn)

具有高級機器學(xué)習(xí)算法的 Vivado ML 設(shè)計套件提供了在運行時和性能方面具有顯著優(yōu)勢的最佳實現(xiàn)工具。憑借用于綜合、布局、布線和物理優(yōu)化的一流編譯工具以及 Xilinx 編譯方法建議,設(shè)計者可以加快設(shè)計周期的實現(xiàn)階段。

平臺版本

Vivado ML 標(biāo)準(zhǔn)版

Vivado ML 的器件受限免費版本。

Vivado ML 企業(yè)版

包括對所有 Xilinx 器件的支持。

文章出處:【微信公眾號:FPGA開發(fā)圈】

責(zé)任編輯:gt

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21538

    瀏覽量

    600458
  • 賽靈思
    +關(guān)注

    關(guān)注

    32

    文章

    1794

    瀏覽量

    131078
  • 機器學(xué)習(xí)
    +關(guān)注

    關(guān)注

    66

    文章

    8320

    瀏覽量

    132165

原文標(biāo)題:Vivado ML 版,讓設(shè)計更智能化

文章出處:【微信號:FPGA-EETrend,微信公眾號:FPGA開發(fā)圈】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    優(yōu)化 FPGA HLS 設(shè)計

    優(yōu)化 FPGA HLS 設(shè)計 用工具用 C 生成 RTL 的代碼基本不可讀。以下是如何在不更改任何 RTL 的情況下提高設(shè)計性能。 介紹 高級設(shè)計能夠以簡潔的方式捕獲設(shè)計,從而
    發(fā)表于 08-16 19:56

    爾芯題正式發(fā)布,邀你共戰(zhàn)EDA精英挑戰(zhàn)

    題發(fā)布COMPETITIONRELEASE2024中國研究生創(chuàng)芯大賽·EDA精英挑戰(zhàn)(原“集成電路EDA設(shè)計精英挑戰(zhàn)”)現(xiàn)已正式拉開帷幕。作為核心出題企業(yè)之一爾芯(S2C),已
    的頭像 發(fā)表于 08-03 08:24 ?410次閱讀
    <b class='flag-5'>思</b>爾芯<b class='flag-5'>賽</b>題正式發(fā)布,邀你共戰(zhàn)EDA精英挑戰(zhàn)<b class='flag-5'>賽</b>!

    快訊 | 發(fā)展新質(zhì)生產(chǎn)力問道?如何下好“創(chuàng)新棋”?

    7月11日,南湖區(qū)委宣傳部、清華大學(xué)馬克主義學(xué)院共同帶隊一行蒞臨圍繞時頻新質(zhì)生產(chǎn)力創(chuàng)新層面進行實地調(diào)研,副總經(jīng)理田永和、對外合作部
    的頭像 發(fā)表于 07-12 13:31 ?393次閱讀
    <b class='flag-5'>賽</b><b class='flag-5'>思</b>快訊 | 發(fā)展新質(zhì)生產(chǎn)力問道<b class='flag-5'>賽</b><b class='flag-5'>思</b>?<b class='flag-5'>賽</b><b class='flag-5'>思</b>如何下好“創(chuàng)新棋”?

    FPGA下載問題

    在ARM開發(fā)中,通??晒┻x擇的下載器有多種。清華的FPGA,除了官方的專用下載器,有其他的下載器可以使用嗎?使用下載器可以嗎?
    發(fā)表于 06-23 12:28

    中科愛畢紅外光電探測技術(shù),打破高端市場“卡脖子”困境

    “超晶格紅外光電探測,如同照相機通過可見光感知事物,但它是通過紅外手段來感知世界的……”在中科愛畢(常州)光電科技有限公司(以下簡稱“中科愛畢”),工作人員這樣介紹公司的核心產(chǎn)
    的頭像 發(fā)表于 05-30 09:14 ?1.7w次閱讀
    中科愛畢<b class='flag-5'>賽</b><b class='flag-5'>思</b>紅外光電探測技術(shù),打破高端市場“卡脖子”困境

    半導(dǎo)體與新加坡三福半導(dǎo)體達成戰(zhàn)略合作

    近日,合肥安近日,合肥安半導(dǎo)體有限公司與新加坡三福半導(dǎo)體科技有限公司成功簽署戰(zhàn)略合作備忘錄。半導(dǎo)體有限公司與新加坡三福半導(dǎo)體科技有限公司成功簽署戰(zhàn)略合作備忘錄。
    的頭像 發(fā)表于 05-21 14:49 ?781次閱讀

    快訊 | 熱烈歡迎嘉興市政協(xié)一行領(lǐng)導(dǎo)蒞臨總部調(diào)研指導(dǎo)工作!

    近日,嘉興市政協(xié)一行領(lǐng)導(dǎo)蒞臨總部調(diào)研指導(dǎo)工作,副總經(jīng)理王文濤、田永和全程陪同。嘉興市政協(xié)一行領(lǐng)導(dǎo)蒞臨
    的頭像 發(fā)表于 05-17 13:22 ?432次閱讀
    <b class='flag-5'>賽</b><b class='flag-5'>思</b>快訊 | 熱烈歡迎嘉興市政協(xié)一行領(lǐng)導(dǎo)蒞臨<b class='flag-5'>賽</b><b class='flag-5'>思</b>總部調(diào)研指導(dǎo)工作!

    FPGA flash操作原理

    FPGA flash操作原理分享
    的頭像 發(fā)表于 04-09 15:03 ?854次閱讀

    是德科技推出AI數(shù)據(jù)中心測試平臺旨在加速AI/ML網(wǎng)絡(luò)驗證和優(yōu)化的創(chuàng)新

    2024年2月29日,是德科技(Keysight Technologies,Inc.)宣布,針對人工智能(AI)和機器學(xué)習(xí)(ML)基礎(chǔ)設(shè)施生態(tài)系統(tǒng),推出了 AI數(shù)據(jù)中心測試平臺,旨在加速AI / ML網(wǎng)絡(luò)驗證和優(yōu)化的創(chuàng)新。
    的頭像 發(fā)表于 02-29 09:32 ?539次閱讀
    是德科技推出AI數(shù)據(jù)中心測試平臺旨在加速AI/<b class='flag-5'>ML</b>網(wǎng)絡(luò)驗證和<b class='flag-5'>優(yōu)化</b>的創(chuàng)新

    快訊 | 熱烈歡迎嘉興市人民政府咨詢委員會蒞臨總部調(diào)研!

    近日,嘉興市人民政府咨詢委員會主任周楚興率隊蒞臨總部進行調(diào)研,綜合中心副總經(jīng)理王文濤陪同。嘉興咨詢委到訪
    的頭像 發(fā)表于 02-05 10:27 ?1319次閱讀
    <b class='flag-5'>賽</b><b class='flag-5'>思</b>快訊 | 熱烈歡迎嘉興市人民政府咨詢委員會蒞臨<b class='flag-5'>賽</b><b class='flag-5'>思</b>總部調(diào)研!

    有償求助.芯片方案

    芯片電路圖方案
    發(fā)表于 01-12 18:19

    晶振產(chǎn)品彩頁

    電子發(fā)燒友網(wǎng)站提供《晶振產(chǎn)品彩頁.pdf》資料免費下載
    發(fā)表于 12-12 14:18 ?0次下載

    RAM使用--Update3

    RAM在使用時可以會遇到一些問題,這里把常用的問題總結(jié)下。 1、ram初始化文件路徑是工程路徑 在對ram進行初始化時需要指定文件路徑,這里要注意'/'的方向。 (1)如果文件放在工程目錄
    的頭像 發(fā)表于 12-12 09:52 ?525次閱讀
    易<b class='flag-5'>靈</b><b class='flag-5'>思</b>RAM使用--Update3

    時鐘系統(tǒng)精準(zhǔn)助力巴基斯坦電視臺廣電傳播!

    時鐘系統(tǒng)助力巴基斯坦國家電視臺(PTV)廣電傳媒業(yè)務(wù)精準(zhǔn)開展。
    的頭像 發(fā)表于 12-08 19:11 ?390次閱讀
    <b class='flag-5'>賽</b><b class='flag-5'>思</b>時鐘系統(tǒng)精準(zhǔn)助力巴基斯坦電視臺廣電傳播!

    獲杭州地鐵官方致謝|以「金牌售后」助力保障亞(殘)運會期間地鐵營運!

    配合各專業(yè)系統(tǒng)狀態(tài)檢查、技術(shù)優(yōu)化,準(zhǔn)時到達指定工作地點開展值守保障工作,及時趕赴現(xiàn)場協(xié)助開展設(shè)備故障應(yīng)急處置工作,保質(zhì)保量的完成了各項通號保障任務(wù),為杭州地鐵平穩(wěn)、安全運營做出了重要貢獻。 是杭州地鐵3號線、5號線、
    的頭像 發(fā)表于 11-13 09:33 ?349次閱讀