0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

如何使用Icarus Verilog+GTKWave來進(jìn)行verilog文件的編譯和仿真

FPGA之家 ? 來源:數(shù)字ICer ? 作者:數(shù)字ICer ? 2021-07-27 09:16 ? 次閱讀

本文將介紹如何使用Icarus Verilog+GTKWave來進(jìn)行verilog文件的編譯和仿真

Icarus Verilog

Icarus Verilog極其小巧,支持全平臺(tái)Windows+Linux+MacOS,并且源代碼開源。通過tb文件可以生成對(duì)應(yīng)的仿真波形數(shù)據(jù)文件,通過GTKWave可以查看仿真波形圖,支持將Verilog轉(zhuǎn)換為VHDL文件。

1.安裝iverilog:

sudo apt-get install iverilog

16b4030c-e10c-11eb-9e57-12bb97331649.png

安裝完成查看版本

iverilog -v

16becfbc-e10c-11eb-9e57-12bb97331649.png

2.安裝gtkwave:

sudo apt-get install gtkwave

16dc2bac-e10c-11eb-9e57-12bb97331649.png

安裝完成查看版本

gtkwave -v

16e89fcc-e10c-11eb-9e57-12bb97331649.png

Tb中添加

16f67e26-e10c-11eb-9e57-12bb97331649.png

3.編譯:

進(jìn)入文件目錄,輸入命令:

iverilog *.v

170039de-e10c-11eb-9e57-12bb97331649.png

編譯完成出現(xiàn).out文件

172cf410-e10c-11eb-9e57-12bb97331649.png

生成.vcd文件

vpp a.out

173bbcfc-e10c-11eb-9e57-12bb97331649.png

執(zhí)行后產(chǎn)生的文件如下:

17447cc0-e10c-11eb-9e57-12bb97331649.png

4.用GTKWave打開VCD文件:

gtkwave glitch.vcd

175038e4-e10c-11eb-9e57-12bb97331649.png

執(zhí)行完成后,彈出界面

添加波形的時(shí)候卡死

glitch.vcd文件太大???

17ec8f28-e10c-11eb-9e57-12bb97331649.png

解決:

gtkwave,icarus支持vcd,lxt,lxt2 dump.

vcd通用但vcd dump太大,gtkwave不能很好的查看波形,導(dǎo)致崩潰。所以最好之前用lxt或?qū)cd轉(zhuǎn)化為lxt格式。lxt格式是gtkwave的專用格式。

cp glitch.vcd glitch.lxt

18151416-e10c-11eb-9e57-12bb97331649.png

添加波形

182e8248-e10c-11eb-9e57-12bb97331649.png

5.Verilog轉(zhuǎn)換為VHDL

將glitch.v文件轉(zhuǎn)換為VHDL文件glitch.vhd

iverilog -tvhdl -o glitch.vhd glitch.v

編輯:jq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 仿真
    +關(guān)注

    關(guān)注

    50

    文章

    3992

    瀏覽量

    133221

原文標(biāo)題:開源verilog仿真工具iverilog+GTKWave初體驗(yàn)

文章出處:【微信號(hào):zhuyandz,微信公眾號(hào):FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    如何利用Verilog-A開發(fā)器件模型

    Verilog-A對(duì)緊湊型模型的支持逐步完善,在模型的實(shí)現(xiàn)上扮演越來越重要的角色,已經(jīng)成為緊湊模型開發(fā)的新標(biāo)準(zhǔn)。而且Verilog-A能夠在抽象級(jí)別和應(yīng)用領(lǐng)域中擴(kuò)展SPICE建模和仿真功能,因此學(xué)會(huì)
    的頭像 發(fā)表于 10-18 14:16 ?105次閱讀
    如何利用<b class='flag-5'>Verilog</b>-A開發(fā)器件模型

    FPGA Verilog HDL有什么奇技巧?

    的話,仿真波形是z,雖然出結(jié)果時(shí)不影響,有沒有必要一開始的時(shí)候直接reg賦初值?在rtl文件里能不能使用initial賦初值,這樣的rtl代碼能否綜合? A:在 Verilog 中,是否有必要為所有
    發(fā)表于 09-12 19:10

    Verilog的版本有哪些

    電子發(fā)燒友網(wǎng)站提供《Verilog的版本有哪些.docx》資料免費(fèi)下載
    發(fā)表于 05-31 11:29 ?0次下載

    FPGA設(shè)計(jì)中 Verilog HDL實(shí)現(xiàn)基本的圖像濾波處理仿真

    個(gè)數(shù)據(jù): 代碼: 2、EdgeSobel的Verilog源代碼: 代碼: 3、仿真文件:EdgeSobel_tb.v
    發(fā)表于 05-20 16:44

    基于樹莓派5的RTL仿真體驗(yàn)

    要求更少…… 1.工具簡(jiǎn)介 iverilog 和 GTKwave 的安裝非常便捷。 1.1iverilog Icarus Verilog 是一個(gè)輕量級(jí)的 verilog
    發(fā)表于 04-30 17:35

    verilog inout用法與仿真

    ,本文將詳細(xì)討論 inout 的用法和仿真。 首先,我們來了解一下 inout 的含義。 inout 是一種雙向信號(hào)類型,即可以作為輸入信號(hào)也可以作為輸出信號(hào)。它類似于雙向數(shù)據(jù)線,可以實(shí)現(xiàn)數(shù)據(jù)的雙向傳輸。在硬件設(shè)計(jì)中, inout 可以用于與外部設(shè)備進(jìn)行通信,如鍵盤、鼠標(biāo)
    的頭像 發(fā)表于 02-23 10:15 ?2283次閱讀

    verilog中initial和always的區(qū)別

    Verilog是一種硬件描述語言(HDL),用于設(shè)計(jì)和模擬數(shù)字電路。在Verilog中,關(guān)鍵字initial和always都是用于描述電路行為的特殊語句。它們被用來生成仿真模型,并控制模擬器的啟動(dòng)
    的頭像 發(fā)表于 02-22 16:09 ?2098次閱讀

    verilog中for循環(huán)是串行執(zhí)行還是并行執(zhí)行

    的for循環(huán)也是并行執(zhí)行的。 Verilog中的for循環(huán)可以用來實(shí)現(xiàn)重復(fù)的操作,例如在一個(gè)時(shí)鐘周期中對(duì)多個(gè)電路進(jìn)行操作。在循環(huán)內(nèi)部,多個(gè)語句可以同時(shí)執(zhí)行,而不受循環(huán)次數(shù)的限制。這種并行執(zhí)行的機(jī)制使得Verilog在硬件設(shè)計(jì)中非
    的頭像 發(fā)表于 02-22 16:06 ?2410次閱讀

    verilog function函數(shù)的用法

    Verilog 中被廣泛用于對(duì)電路進(jìn)行模塊化設(shè)計(jì),以簡(jiǎn)化和組織代碼。 本文將詳細(xì)介紹 Verilog 函數(shù)的用法,并探討函數(shù)在硬件設(shè)計(jì)中的重要性和實(shí)際應(yīng)用場(chǎng)景。 一. Verilog
    的頭像 發(fā)表于 02-22 15:49 ?4480次閱讀

    verilog同步和異步的區(qū)別 verilog阻塞賦值和非阻塞賦值的區(qū)別

    Verilog中同步和異步的區(qū)別,以及阻塞賦值和非阻塞賦值的區(qū)別。 一、Verilog中同步和異步的區(qū)別 同步傳輸和異步傳輸是指數(shù)據(jù)在電路中傳輸?shù)膬煞N方式,它們之間的區(qū)別在于數(shù)據(jù)傳輸?shù)臅r(shí)間控制方式。 同步傳輸:同步傳輸是通過時(shí)鐘信號(hào)
    的頭像 發(fā)表于 02-22 15:33 ?1319次閱讀

    verilog的135個(gè)經(jīng)典實(shí)例

    verilog的135個(gè)經(jīng)典實(shí)例
    發(fā)表于 02-02 10:17 ?14次下載

    如何使用 ModelSim 進(jìn)行設(shè)計(jì)仿真

    ModelSim為HDL仿真工具,我們可以利用該軟件實(shí)現(xiàn)對(duì)所設(shè)計(jì)的VHDL或Verilog程 序進(jìn)行仿真,支持IEEE常見的各種硬件描述語
    發(fā)表于 01-14 09:47 ?0次下載

    例說Verilog HDL和VHDL區(qū)別

    Verilog和VHDL之間的區(qū)別將在本文中通過示例進(jìn)行詳細(xì)說明。對(duì)優(yōu)點(diǎn)和缺點(diǎn)的Verilog和VHDL進(jìn)行了討論。
    的頭像 發(fā)表于 12-20 09:03 ?2716次閱讀
    例說<b class='flag-5'>Verilog</b> HDL和VHDL區(qū)別

    講一講芯片設(shè)計(jì)中的verilog是什么

    相信不少人都聽過verilog這個(gè)詞,今天我就想講一講我所理解的verilog是什么。
    的頭像 發(fā)表于 12-04 13:52 ?1003次閱讀

    vcs實(shí)用技巧

    VCS是編譯verilog仿真器,VCS先將verilog/systemverilog文件轉(zhuǎn)化為C文件
    的頭像 發(fā)表于 10-25 17:22 ?909次閱讀
    vcs實(shí)用技巧