0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

VHDL與Verilog硬件描述語言如何用TestBench來進(jìn)行仿真

FPGA設(shè)計(jì)論壇 ? 來源:博客園 ? 作者:The Pisces ? 2021-08-04 14:16 ? 次閱讀

VHDL與Verilog硬件描述語言在數(shù)字電路的設(shè)計(jì)中使用的非常普遍,無論是哪種語言,仿真都是必不可少的。而且隨著設(shè)計(jì)復(fù)雜度的提高,仿真工具的重要性就越來越凸顯出來。在一些小的設(shè)計(jì)中,用TestBench來進(jìn)行仿真是一個(gè)很不錯(cuò)的選擇。

VHDL與Verilog語言的語法規(guī)則不同,它們的TestBench的具體寫法也不同,但是應(yīng)包含的基本結(jié)構(gòu)大體相似,在VHDL的仿真文件中應(yīng)包含以下幾點(diǎn):實(shí)體和結(jié)構(gòu)體聲明、信號(hào)聲明、頂層設(shè)計(jì)實(shí)例化、提供激勵(lì);Verilog的仿真文件應(yīng)包括:模塊聲明、信號(hào)聲明、頂層設(shè)計(jì)實(shí)例化、提供激勵(lì)。大致思想都是相似的。

簡單的說,TestBench就是一種驗(yàn)證手段,從軟件層面對(duì)設(shè)計(jì)的硬件電路進(jìn)行仿真。具體來講,一般是在你的仿真文件里,產(chǎn)生激勵(lì)信號(hào),作用于被仿真的設(shè)計(jì)文件DUT(Design Under Test),產(chǎn)生相應(yīng)的輸出,然后根據(jù)輸出信號(hào)檢驗(yàn)設(shè)計(jì)的電路是否存在問題或者存在哪些問題。

下面以FPGA板中驅(qū)動(dòng)流水燈的一段程序?yàn)槔?,簡單介紹一下兩種語言的TestBench的編寫。

1 module led_run(clk,rst,led);

2 input clk,rst;

3 output reg [7:0] led;

4 reg [25:0] clk_cnt;

5 reg clk_tmp;

6 reg [3:0] temp;

7

8 always@(posedge clk or negedge rst)

9 begin

10 if(!rst)

11 begin

12 clk_cnt《=26‘d0;

13 clk_tmp《=1’b1;

14 end

15 else

16 begin

17 if(clk_cnt==26‘b11111111111111111111111111)

18 begin

19 clk_cnt《=26’d0;

20 clk_tmp《=~clk_tmp;

21 end

22 else

23 clk_cnt《=clk_cnt+1‘b1;

24 end

25 end

26

27 always@(posedge clk_tmp or negedge rst)

28 begin

29 if(!rst)

30 temp《=4’d15;

31 else

32 temp《=temp+1‘b1;

33 end

34

35 always@(temp)

36 begin

37 case(temp)

38 4’d0 :led《=8‘b11111110;

39 4’d1 :led《=8‘b11111100;

40 4’d2 :led《=8‘b11111000;

41 4’d3 :led《=8‘b11110000;

42 4’d4 :led《=8‘b11100000;

43 4’d5 :led《=8‘b11000000;

44 4’d6 :led《=8‘b10000000;

45 4’d7 :led《=8‘b00000000;

46 4’d8 :led《=8‘b00000001;

47 4’d9 :led《=8‘b00000011;

48 4’d10:led《=8‘b00000111;

49 4’d11:led《=8‘b00001111;

50 4’d12:led《=8‘b00011111;

51 4’d13:led《=8‘b00111111;

52 4’d14:led《=8‘b01111111;

53 4’d15:led《=8‘b11111111;

54 default:;

55 endcase

56 end

57

58 endmodule

上面是一段流水燈的代碼,控制8位流水燈依次點(diǎn)亮,再依次熄滅。第一個(gè)always語句完成分頻功能,第二個(gè)always語句用于計(jì)數(shù),共16個(gè)值,第三個(gè)always語句根據(jù)計(jì)數(shù)的值選擇LED燈的狀態(tài)。其中clk、rst分別為時(shí)鐘和復(fù)位信號(hào),led為驅(qū)動(dòng)流水燈的輸出信號(hào)。接下來針對(duì)這一設(shè)計(jì)編寫其TestBench文件。

1 /************TestBench*************/

2 module tb_led_run;

3 reg clk,rst;

4 wire led;

5

6 initial

7 begin

8 rst=1;

9 #30 rst=0;

10 #40 rst=1;

11 end

12

13 initial

14 begin

15 clk=1;

16 forever #20 clk=~clk;

17 end

18

19 led_run led1(.clk(clk),.rst(rst),.led(led));

20 endmodule

由于只需要時(shí)鐘和復(fù)位信號(hào)即可,故在其仿真文件并不復(fù)雜,建立測試模塊,進(jìn)行信號(hào)聲明,在兩個(gè)initial中分別提供clk和rst信號(hào),最后進(jìn)行例化。當(dāng)然注意一點(diǎn),在仿真時(shí)要把分頻模塊去掉,或者將分頻系數(shù)改小,否則仿真時(shí)不容易觀察波形。下面是在Modelsim中仿真得到的波形(分頻模塊改為2分頻)。

總結(jié)起來,Verilog的TestBench有著相對(duì)固定的寫法:

module test_bench;

端口聲明語句

initial

begin

產(chǎn)生時(shí)鐘信號(hào)

end

initial

begin

提供激勵(lì)源

end

例化語句

endmodule

最主要的是在initial語句中進(jìn)行激勵(lì)的生成,這要根據(jù)具體的設(shè)計(jì)來分析。

下面對(duì)比介紹VHDL語言TestBench的寫法。同樣的功能,驅(qū)動(dòng)流水燈,VHDL的程序如下:

1 LIBRARY IEEE;

2 USE IEEE.STD_LOGIC_1164.ALL;

3 USE IEEE.STD_LOGIC_UNSIGNED.ALL;

5 ENTITY led_run IS

6 PORT(clk:in std_logic;

7 rst:in std_logic;

8 led:out std_logic_vector(7 downto 0):=“11111111” );

9 END led_run;

10

11 ARCHITECTURE arc_led_run OF led_run IS

12 signal temp:std_logic_vector(3 downto 0);

13 signal clk_cnt:std_logic_vector(25 downto 0);

14 signal clk_tmp:std_logic:=’1‘;

15 BEGIN

16 divider:PROCESS(clk,rst)

17 BEGIN

18 if(rst=’0‘) then

19 clk_cnt《=“00000000000000000000000000”;

20 elsif(clk’event and clk=‘1’) then

21 clk_cnt《=clk_cnt+1;

22 if(clk_cnt=“11111111111111111111111111”) then

23 clk_cnt《=“00000000000000000000000000”;

24 clk_tmp《=NOT clk_tmp;

25 end if;

26 end if;

27 END PROCESS;

28

29 PROCESS(clk_tmp,rst)

30 BEGIN

31 if(rst=‘0’) then

32 temp《=“1111”; --all the led off

33 elsif(clk_tmp‘event and clk_tmp=’1‘) then

34 temp《=temp+1;

35 end if;

36 END PROCESS;

37

38 PROCESS(temp)

39 BEGIN

40 case temp is

41 when“0000”=》led《=“11111110”;

42 when“0001”=》led《=“11111100”;

43 when“0010”=》led《=“11111000”;

44 when“0011”=》led《=“11110000”;

45 when“0100”=》led《=“11100000”;

46 when“0101”=》led《=“11000000”;

47 when“0110”=》led《=“10000000”;

48 when“0111”=》led《=“00000000”;

49 when“1000”=》led《=“00000001”;

50 when“1001”=》led《=“00000011”;

51 when“1010”=》led《=“00000111”;

52 when“1011”=》led《=“00001111”;

53 when“1100”=》led《=“00011111”;

54 when“1101”=》led《=“00111111”;

55 when“1110”=》led《=“01111111”;

56 when“1111”=》led《=“11111111”;

57 when others=》NULL;

58 end case;

59 END PROCESS;

60

61 END arc_led_run;

根據(jù)語法要求,首先聲明庫,接著定義實(shí)體和結(jié)構(gòu)體。在結(jié)構(gòu)體中用三個(gè)進(jìn)程(PROCESS)分別實(shí)現(xiàn)分頻、計(jì)數(shù)、流水燈狀態(tài)分配的功能,功能相當(dāng)于上面Verilog程序中的三個(gè)always語句。接下來寫TestBench文件:

1 ---------------TestBench-----------------

2 LIBRARY IEEE;

3 USE IEEE.STD_LOGIC_1164.ALL;

4

5

6 ENTITY tb_led_run IS --空實(shí)體

7 END tb_led_run;

8

9

10 ARCHITECTURE arc_tb_led_run OF tb_led_run IS --結(jié)構(gòu)體

11

12 COMPONENT led_run IS --元件聲明

13 PORT(clk:in std_logic;

14 rst:in std_logic;

15 led:out std_logic_vector(7 downto 0));

16 END COMPONENT;

17

18 signal clk,rst:std_logic;

19 signal led:std_logic_vector(7 downto 0);

20 constant clk_period:time:=5 ns;

21

22 BEGIN

23

24 DUT:led_run PORT MAP(clk=》clk,rst=》rst,led=》led); --元件例化

25

26 clk_gen:PROCESS

27 BEGIN

28 clk《=’1‘;

29 wait for clk_period/2;

30 clk《=’0‘;

31 wait for clk_period/2;

32 END PROCESS;

33

34 tb:PROCESS

35 BEGIN

36 rst《=’0‘;

37 wait for 12 ns;

38 rst《=’1‘;

39 wait;

40 END PROCESS;

41

42 END arc_tb_led_run;

在這個(gè)TestBench中同樣只需要提供clk和rst信號(hào),分別在兩個(gè)進(jìn)程實(shí)現(xiàn),Modelsim中的仿真結(jié)果如下(同樣在仿真的時(shí)候?qū)⒎诸l系數(shù)改為2):

總結(jié)一下,VHDL的TestBench寫法也有相對(duì)固定的格式:

library ieee; --庫聲明

use ieee.std_logic_1164.all;

entity test_bench is --測試平臺(tái)文件的空實(shí)體(不需要端口定義)

end test_bench;

architecture tb_behavior of test_bench is --結(jié)構(gòu)體

component entity_under_test --被測試元件的聲明

port(

list-of-ports-theri-types-and-modes

);

end component;

begin

instantiation:entity_under_test port map --元件例化

port-associations

);

process() --產(chǎn)生時(shí)鐘信號(hào)

……

end process;

process() --產(chǎn)生激勵(lì)源

……

end process;

end tb_behavior;

相對(duì)與Verilog語言來說,VHDL的TestBench除了自身的庫聲明以及Entity和Architecture之外,還需要進(jìn)行元件的聲明,即將被測試的設(shè)計(jì)聲明為一個(gè)元件,然后對(duì)其例化。在激勵(lì)的產(chǎn)生方面與Verilog思路相同。

從上面的程序可以看出,Verilog語言相對(duì)比較隨意一些,從C語言編程中繼承了多種操作符和結(jié)構(gòu);而VHDL的語法則比較嚴(yán)謹(jǐn),有固定的格式。但在功能的實(shí)現(xiàn)上二者大同小異。比如Verilog中的always語句,在VHDL中可以找到PROCESS與之對(duì)應(yīng),當(dāng)然更多的是不同。

兩種語言均可在不同的抽象層次對(duì)電路進(jìn)行描述:系統(tǒng)級(jí)、算法級(jí)、寄存器傳輸級(jí)、邏輯門級(jí)和開關(guān)電路級(jí),但是VHDL更擅長系統(tǒng)級(jí),而Verilog更方便底層描述。在學(xué)習(xí)硬件描述語言的時(shí)候不妨對(duì)比學(xué)習(xí)一下,相信會(huì)對(duì)電路設(shè)計(jì)的理解更加深一層。

編輯:jq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • vhdl
    +關(guān)注

    關(guān)注

    30

    文章

    816

    瀏覽量

    128018

原文標(biāo)題:VHDL與Verilog硬件描述語言TestBench的編寫

文章出處:【微信號(hào):gh_9d70b445f494,微信公眾號(hào):FPGA設(shè)計(jì)論壇】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    VerilogVHDL轉(zhuǎn)換的經(jīng)驗(yàn)與技巧總結(jié)

    VerilogVHDL語法是互通且相互對(duì)應(yīng)的,如何查看二者對(duì)同一硬件結(jié)構(gòu)的描述,可以借助EDA工具,如Vivado,打開Vivado后它里面的語言
    的頭像 發(fā)表于 04-28 17:47 ?1912次閱讀
    <b class='flag-5'>Verilog</b>到<b class='flag-5'>VHDL</b>轉(zhuǎn)換的經(jīng)驗(yàn)與技巧總結(jié)

    fpga是用c語言還是verilog

    FPGA(現(xiàn)場可編程邏輯門陣列)開發(fā)主要使用的編程語言硬件描述語言(HDL),其中Verilog是最常用的編程語言之一。而C
    的頭像 發(fā)表于 03-27 14:38 ?1506次閱讀

    fpga通用語言是什么

    FPGA(現(xiàn)場可編程門陣列)的通用語言主要是指用于描述FPGA內(nèi)部邏輯結(jié)構(gòu)和行為的硬件描述語言。目前,Verilog HDL和
    的頭像 發(fā)表于 03-15 14:36 ?440次閱讀

    fpga用什么語言編程

    FPGA(現(xiàn)場可編程門陣列)的編程主要使用硬件描述語言(HDL),其中最常用的是Verilog HDL和VHDL。
    的頭像 發(fā)表于 03-14 18:17 ?2397次閱讀

    fpga用的是什么編程語言 fpga用什么語言開發(fā)

    fpga用的是什么編程語言 FPGA(現(xiàn)場可編程邏輯門陣列)主要使用的編程語言硬件描述語言(HDL)。在眾多的HDL中,Verilog H
    的頭像 發(fā)表于 03-14 17:09 ?3120次閱讀

    fpga芯片用什么編程語言

    FPGA芯片主要使用的編程語言包括Verilog HDL和VHDL。這兩種語言都是硬件描述語言,
    的頭像 發(fā)表于 03-14 16:07 ?1373次閱讀

    VHDL語言快速入門指南

    HDL(VHSIC Hardware Description Language)是一種硬件描述語言,主要用于描述數(shù)字電路和系統(tǒng)的結(jié)構(gòu)、行為和功能。它是一種用于硬件設(shè)計(jì)的標(biāo)準(zhǔn)化
    發(fā)表于 03-04 11:41 ?2472次閱讀
    <b class='flag-5'>VHDL</b><b class='flag-5'>語言</b>快速入門指南

    verilog與其他編程語言的接口機(jī)制

    Verilog是一種硬件描述語言,用于描述數(shù)字電路的行為和結(jié)構(gòu)。與其他編程語言相比,Verilog
    的頭像 發(fā)表于 02-23 10:22 ?544次閱讀

    verilog inout用法與仿真

    Verilog語言是一種硬件描述語言(HDL),用于描述數(shù)字邏輯電路和系統(tǒng)。它是一種非常強(qiáng)大且廣泛使用的
    的頭像 發(fā)表于 02-23 10:15 ?2290次閱讀

    verilog function函數(shù)的用法

    Verilog 是一種硬件描述語言 (HDL),主要用于描述數(shù)字電子電路的行為和結(jié)構(gòu)。在 Verilog 中,函數(shù) (Function) 是
    的頭像 發(fā)表于 02-22 15:49 ?4496次閱讀

    如何使用 ModelSim 進(jìn)行設(shè)計(jì)仿真

    ModelSim為HDL仿真工具,我們可以利用該軟件實(shí)現(xiàn)對(duì)所設(shè)計(jì)的VHDLVerilog程 序進(jìn)行仿
    發(fā)表于 01-14 09:47 ?0次下載

    例說Verilog HDL和VHDL區(qū)別

    VerilogVHDL之間的區(qū)別將在本文中通過示例進(jìn)行詳細(xì)說明。對(duì)優(yōu)點(diǎn)和缺點(diǎn)的VerilogVHDL
    的頭像 發(fā)表于 12-20 09:03 ?2732次閱讀
    例說<b class='flag-5'>Verilog</b> HDL和<b class='flag-5'>VHDL</b>區(qū)別

    FPGA入門篇:Verilog計(jì)數(shù)器

    Verilog HDL是一種硬件描述語言,以文本形式描述數(shù)字系統(tǒng)硬件的結(jié)構(gòu)和行為的
    發(fā)表于 12-07 09:59 ?2403次閱讀
    FPGA入門篇:<b class='flag-5'>Verilog</b>計(jì)數(shù)器

    數(shù)字IC前端設(shè)計(jì)+后端設(shè)計(jì)流程實(shí)現(xiàn)

    RTL 設(shè)計(jì)** :芯片功能設(shè)計(jì)。硬件描述語言Verilog、VHDL、SystemVerilog。
    的頭像 發(fā)表于 11-08 15:03 ?3819次閱讀
    數(shù)字IC前端設(shè)計(jì)+后端設(shè)計(jì)流程實(shí)現(xiàn)

    ESL事務(wù)級(jí)建模語言簡介

    任何系統(tǒng)級(jí)建模語言,都需要具備在較高層次的抽象能力和對(duì)不同來源的IP的集成能力。建模方法的選擇通?;?b class='flag-5'>語言熟悉程度、建模支持、模型可用性和簡單性。 在各種軟硬件描述語言中,
    的頭像 發(fā)表于 11-02 15:10 ?622次閱讀