0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

英特爾公布詳細(xì)的制程技術(shù)路線圖

TDK中國 ? 來源:英特爾中國 ? 作者:英特爾中國 ? 2021-08-09 10:40 ? 次閱讀

英特爾上個(gè)月月底公布了公司有史以來最詳細(xì)的制程技術(shù)路線圖之一,展示了從現(xiàn)在到2025年乃至更遠(yuǎn)的未來,驅(qū)動(dòng)新產(chǎn)品開發(fā)的突破性技術(shù)。本資料介紹了實(shí)現(xiàn)此路線圖的創(chuàng)新技術(shù)的關(guān)鍵細(xì)節(jié),并解釋了新的節(jié)點(diǎn)命名方法背后的依據(jù)。

未來之路

英特爾的路線圖是基于無與倫比的制程技術(shù)創(chuàng)新底蘊(yùn)制定而成。結(jié)合世界先進(jìn)的研發(fā)流程,英特爾推出過諸多深刻影響了半導(dǎo)體生態(tài)的行業(yè)首創(chuàng)技術(shù),如應(yīng)變硅、高K金屬柵極和3D FinFET晶體管等。

如今,英特爾延續(xù)這一傳統(tǒng),在全新的創(chuàng)新高度上制定路線圖,其中不僅包括深層次的晶體管級(jí)增強(qiáng),還將創(chuàng)新延伸至互連和標(biāo)準(zhǔn)單元級(jí)。英特爾已加快創(chuàng)新步伐,以加強(qiáng)每年制程工藝提升的節(jié)奏。

內(nèi)在創(chuàng)新

以下是英特爾制程技術(shù)路線圖、實(shí)現(xiàn)每個(gè)節(jié)點(diǎn)的創(chuàng)新技術(shù)以及新節(jié)點(diǎn)命名的詳細(xì)信息

Intel 7

(此前稱之為10納米Enhanced SuperFin)

通過FinFET晶體管優(yōu)化,每瓦性能①比英特爾10納米SuperFin提升約10% - 15%,優(yōu)化方面包括更高應(yīng)變性能、更低電阻的材料、新型高密度蝕刻技術(shù)、流線型結(jié)構(gòu),以及更高的金屬堆棧實(shí)現(xiàn)布線優(yōu)化。Intel 7將在這些產(chǎn)品中亮相:于2021年推出的面向客戶端的Alder Lake,以及預(yù)計(jì)將于2022年第一季度投產(chǎn)的面向數(shù)據(jù)中心的Sapphire Rapids。

Intel 4

(此前稱之為Intel 7納米)

與Intel 7相比,Intel 4的每瓦性能①提高了約20% ,它是首個(gè)完全采用EUV光刻技術(shù)的英特爾FinFET節(jié)點(diǎn),EUV采用高度復(fù)雜的透鏡和反射鏡光學(xué)系統(tǒng),將13.5納米波長的光對(duì)焦,從而在硅片上刻印極微小的圖樣。相較于之前使用波長為193納米的光源的技術(shù),這是巨大的進(jìn)步。Intel 4將于2022年下半年投產(chǎn),2023年出貨,產(chǎn)品包括面向客戶端的Meteor Lake和面向數(shù)據(jù)中心的Granite Rapids。

Intel 3

Intel 3將繼續(xù)獲益于FinFET,較之Intel 4,Intel 3將在每瓦性能①上實(shí)現(xiàn)約18%的提升。這是一個(gè)比通常的標(biāo)準(zhǔn)全節(jié)點(diǎn)改進(jìn)水平更高的晶體管性能提升。Intel 3實(shí)現(xiàn)了更高密度、更高性能的庫;提高了內(nèi)在驅(qū)動(dòng)電流;通過減少通孔電阻,優(yōu)化了互連金屬堆棧;與Intel 4相比,Intel 3在更多工序中增加了EUV的使用。Intel 3將于2023年下半年開始生產(chǎn)相關(guān)產(chǎn)品。

Intel 20A

PowerVia和RibbonFET這兩項(xiàng)突破性技術(shù)開啟了埃米時(shí)代。PowerVia是英特爾獨(dú)有、業(yè)界首個(gè)背面電能傳輸網(wǎng)絡(luò),它消除晶圓正面的供電布線需求,優(yōu)化信號(hào)布線,同時(shí)減少下垂和降低干擾。RibbonFET是英特爾研發(fā)的Gate All Around晶體管,是公司自2011年率先推出FinFET以來的首個(gè)全新晶體管架構(gòu),提供更快的晶體管開關(guān)速度,同時(shí)以更小的占用空間實(shí)現(xiàn)與多鰭結(jié)構(gòu)相同的驅(qū)動(dòng)電流。Intel 20A預(yù)計(jì)將在2024年推出。

命名含義

摩爾定律仍在持續(xù)生效。對(duì)于未來十年走向超越‘1納米’節(jié)點(diǎn)的創(chuàng)新,英特爾有著一條清晰的路徑。我想說,在窮盡元素周期表之前,摩爾定律都不會(huì)失效,英特爾將持續(xù)利用硅的神奇力量不斷推進(jìn)創(chuàng)新。

——帕特·基辛格

英特爾公司CEO

數(shù)十年來,制程工藝“節(jié)點(diǎn)”的名稱與晶體管的柵極長度相對(duì)應(yīng)。雖然業(yè)界多年前不再遵守這種命名法,但英特爾一直沿用這種歷史模式,即使用反映尺寸單位(如納米)的遞減數(shù)字來為節(jié)點(diǎn)命名。

如今,整個(gè)行業(yè)使用著各不相同的制程節(jié)點(diǎn)命名和編號(hào)方案,這些多樣的方案既不再指代任何具體的度量方法,也無法全面展現(xiàn)如何實(shí)現(xiàn)能效和性能的最佳平衡。

在披露制程工藝路線圖時(shí),英特爾引入了基于關(guān)鍵技術(shù)參數(shù)——包括性能、功耗和面積等的新命名體系。從上一個(gè)節(jié)點(diǎn)到下一個(gè)節(jié)點(diǎn)命名的數(shù)字遞減,反映了對(duì)這些關(guān)鍵參數(shù)改進(jìn)的整體評(píng)估②。

隨著行業(yè)越來越接近“1納米”節(jié)點(diǎn),英特爾改變命名方式,以更好地反映全新的創(chuàng)新時(shí)代。具體而言,在Intel 3之后的下一個(gè)節(jié)點(diǎn)將被命名為Intel 20A,這一命名反映了向新時(shí)代的過渡,即工程師在原子水平上制造器件和材料的時(shí)代——半導(dǎo)體的埃米時(shí)代。

更新后的命名體系將創(chuàng)建一個(gè)清晰而有意義的框架,來幫助行業(yè)和客戶對(duì)整個(gè)行業(yè)的制程節(jié)點(diǎn)演進(jìn)有更準(zhǔn)確的認(rèn)知,進(jìn)而做出更明智的決策。隨著英特爾代工服務(wù)(IFS)的推出,讓客戶清晰了解情況比以往任何時(shí)候都顯得更加重要。

編輯:jq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 英特爾
    +關(guān)注

    關(guān)注

    60

    文章

    9816

    瀏覽量

    171111
  • 晶體管
    +關(guān)注

    關(guān)注

    77

    文章

    9582

    瀏覽量

    137462
  • FinFET
    +關(guān)注

    關(guān)注

    12

    文章

    247

    瀏覽量

    90049

原文標(biāo)題:英特爾制程工藝解析

文章出處:【微信號(hào):TDK中國,微信公眾號(hào):TDK中國】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    英特爾開啟新時(shí)代:Intel 3制程節(jié)點(diǎn)引領(lǐng)性能與能效飛躍

    在半導(dǎo)體技術(shù)的浪潮中,英特爾一直扮演著引領(lǐng)者的角色。近日,英特爾再度以其強(qiáng)大的研發(fā)實(shí)力和創(chuàng)新精神,實(shí)現(xiàn)了其“四年五個(gè)制程節(jié)點(diǎn)”計(jì)劃的又一重要里程碑——Intel 3
    的頭像 發(fā)表于 06-14 15:22 ?548次閱讀

    英特爾推進(jìn)面向未來節(jié)點(diǎn)的技術(shù)創(chuàng)新,在2025年后鞏固制程領(lǐng)先性

    英特爾正在按計(jì)劃實(shí)現(xiàn)其“四年五個(gè)制程節(jié)點(diǎn)”的目標(biāo),目前,Intel 7,采用EUV(極紫外光刻)技術(shù)的Intel 4和Intel 3均已實(shí)現(xiàn)大規(guī)模量產(chǎn)。正在順利推進(jìn)中的Intel 20A和Intel
    的頭像 發(fā)表于 05-16 15:38 ?333次閱讀

    事關(guān)衛(wèi)星物聯(lián)網(wǎng)!LoRaWAN 2027 發(fā)展路線圖重磅公布

    4月16日,LoRa聯(lián)盟(LoRaAlliance)發(fā)布了LoRaWAN開發(fā)路線圖,以引導(dǎo)該標(biāo)準(zhǔn)未來演進(jìn)的方向。LoRaWAN開發(fā)路線圖LoRa作為低功耗廣域網(wǎng)通信領(lǐng)域的“明星”之一
    的頭像 發(fā)表于 04-26 08:06 ?531次閱讀
    事關(guān)衛(wèi)星物聯(lián)網(wǎng)!LoRaWAN 2027 發(fā)展<b class='flag-5'>路線圖</b>重磅<b class='flag-5'>公布</b>

    美國公布3D半導(dǎo)體路線圖

    日前,美國半導(dǎo)體研究公司(SEMICONDUCTOR RESEARCH CORPORATION,簡稱SRC)公布了微電子和先進(jìn)封裝(MAPT)路線圖,該路線圖由來自工業(yè)、學(xué)術(shù)界和政府的112個(gè)組織
    的頭像 發(fā)表于 03-25 17:32 ?587次閱讀

    英特爾押注18A制程,力爭重回技術(shù)領(lǐng)先地位

    據(jù)悉,18A 制程英特爾技術(shù)引領(lǐng)道路上的關(guān)鍵階段,雖非直接采用 1.8納米工藝,英特爾仍自豪宣稱其性能與晶體管密度媲美友商的 1.8 nm制程
    的頭像 發(fā)表于 02-29 15:13 ?609次閱讀

    英特爾18A工藝節(jié)點(diǎn)推廣激勵(lì)措施承諾

    去年,英特爾CEO帕特·基辛格與多家韓國企業(yè)高層會(huì)面,詳細(xì)介紹了英特爾芯片代工商的最新發(fā)展動(dòng)態(tài)。據(jù)悉,英特爾正積極向韓國芯片創(chuàng)業(yè)公司推銷18A制程
    的頭像 發(fā)表于 02-27 14:55 ?577次閱讀

    英特爾首推面向AI時(shí)代的系統(tǒng)級(jí)代工

    英特爾宣布全新制程技術(shù)路線圖、客戶及生態(tài)伙伴合作,以實(shí)現(xiàn)2030年成為全球第二大代工廠的目標(biāo)。 新聞亮點(diǎn): ?英特爾首推面向AI時(shí)代的系統(tǒng)級(jí)
    的頭像 發(fā)表于 02-26 15:41 ?314次閱讀
    <b class='flag-5'>英特爾</b>首推面向AI時(shí)代的系統(tǒng)級(jí)代工

    英特爾拿下微軟芯片代工訂單

    英特爾近日在美國圣荷西舉行的首次晶圓代工活動(dòng)中公布了其雄心勃勃的制程延伸藍(lán)圖。該公司首席執(zhí)行官在會(huì)上表示,通過采用Intel 18A先進(jìn)制程技術(shù)
    的頭像 發(fā)表于 02-26 10:01 ?583次閱讀

    英特爾推出面向AI時(shí)代的系統(tǒng)級(jí)代工,并更新制程技術(shù)路線圖

    英特爾公司近日宣布,將推出全新的系統(tǒng)級(jí)代工服務(wù)——英特爾代工(Intel Foundry),以滿足AI時(shí)代對(duì)先進(jìn)制程技術(shù)的需求。這一舉措標(biāo)志著英特爾
    的頭像 發(fā)表于 02-23 18:23 ?1427次閱讀

    英特爾晶圓代工業(yè)務(wù)再升級(jí),新路線圖出爐

    對(duì)于該公司來說,這是一個(gè)令人興奮的時(shí)刻,但也是一個(gè)關(guān)鍵時(shí)刻。英特爾已經(jīng)到了需要兌現(xiàn)這些承諾的地步——而且他們需要以一種非常明顯的方式做到這一點(diǎn)。
    發(fā)表于 02-23 11:27 ?469次閱讀
    <b class='flag-5'>英特爾</b>晶圓代工業(yè)務(wù)再升級(jí),新<b class='flag-5'>路線圖</b>出爐

    英特爾宣布推進(jìn)1.4納米制程

    ,臺(tái)積電和三星已經(jīng)推出3納米制程芯片,而英特爾則剛剛實(shí)現(xiàn)了5納米制程。然而,這一決定表明英特爾有意在制程
    的頭像 發(fā)表于 02-23 11:23 ?407次閱讀

    英特爾2月21日發(fā)布新工藝路線圖,或?qū)⒁隦ibbonFET環(huán)柵晶體管?

    英特爾對(duì)此次活動(dòng)的定位如下: “誠摯邀請(qǐng)您傾聽英特爾高層精英、技術(shù)專才以及各方合作伙伴深度解讀我們的戰(zhàn)略布局、卓越工藝技術(shù)、尖端封裝技巧與生態(tài)建設(shè)。旨在讓您深入理解
    的頭像 發(fā)表于 01-05 09:40 ?623次閱讀

    英特爾的2023:以強(qiáng)大執(zhí)行力推進(jìn)產(chǎn)品、技術(shù)創(chuàng)新

    創(chuàng)新,取得了多項(xiàng)突破,并以強(qiáng)大的執(zhí)行力穩(wěn)步按照既定路線圖發(fā)布新產(chǎn)品,支持“芯經(jīng)濟(jì)”的蓬勃發(fā)展。 具體而言,2023年英特爾技術(shù)和產(chǎn)品方面主要取得了以下進(jìn)展: 12月 英特爾推出新一代
    的頭像 發(fā)表于 12-29 14:33 ?333次閱讀
    <b class='flag-5'>英特爾</b>的2023:以強(qiáng)大執(zhí)行力推進(jìn)產(chǎn)品、<b class='flag-5'>技術(shù)</b>創(chuàng)新

    英特爾CEO:“四年五個(gè)制程節(jié)點(diǎn)”進(jìn)展正在得到第三方肯定

    近日,英特爾公司首席執(zhí)行官帕特·基辛格表示,英特爾將按計(jì)劃或提前完成其“四年五個(gè)制程節(jié)點(diǎn)”計(jì)劃,英特爾制程
    的頭像 發(fā)表于 11-10 17:48 ?387次閱讀

    #高通 #英特爾 #Elite 高通X Elite芯片或終結(jié)蘋果、英特爾的芯片王朝

    高通英特爾蘋果
    深圳市浮思特科技有限公司
    發(fā)布于 :2023年10月27日 16:46:07