0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

基于python的用于構(gòu)建仿真及測試用例的lib庫cocotb

OpenFPGA ? 來源:似猿非猿的FPGA ? 作者:似猿非猿的FPGA ? 2021-10-13 17:01 ? 次閱讀

對于從事ASIC行業(yè)及FPGA行業(yè)的小伙伴來說,仿真是一件必不可少的事情。或許有人是驗(yàn)證大拿,UVM高手,但相較于軟件豐富的驗(yàn)證框架,對于各種各樣的場景單純的SV構(gòu)建測試用例是否便捷值得推敲。

何為cocotb

cocotb is a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python.

21世紀(jì),python成了一門吃香的語言。cocotb是一套基于python的用于構(gòu)建仿真及測試用例的lib庫,它的框架為:

可以看到,在cocotb的框架里,我們的待測試邏輯運(yùn)行在仿真器中(VCS、Verilator等),而我們的測試框架及測試用例均以python的形式構(gòu)建,雙方通過仿真器提供的各種標(biāo)準(zhǔn)接口進(jìn)行通信。及設(shè)計(jì)代碼為Verilog/VHDL/Systemverilog,而測試代碼為Python。

存在即合理,為什么這么搞?因?yàn)镻ython寫起來簡單唄!在能夠正常實(shí)現(xiàn)對DUT的接口驅(qū)動(dòng)的同時(shí)借助python豐富的lib庫能夠讓我們快速的構(gòu)建測試用例及參考模型。而借助軟件現(xiàn)成的測試框架能夠極大加速驗(yàn)證流程。想想單純的一個(gè)圖像處理的仿真用純Systemverilog的方式進(jìn)行驗(yàn)證在進(jìn)行測試數(shù)據(jù)生成及最后結(jié)果對比要多少腳本……

cocotb安裝

按照cocotb手冊給出的安裝流程,在CentOS里安裝步驟為:
#Preparesudo yum install make gcc gcc-c++ libstdc++-devel python3 python3-devel python3-pip#install cocotbpip install cocotb##pip may belong to a different Python installation# to what you expect. Use pip -V to check. If this #prints “(python 2.7)”, use pip3 or python3 -m pip #inplaceofpipinthecommandshown.
在安裝過程中,有遇到“Python.h: No such file or directory”,解決辦法為:
1.可以先查看一下含python-devel的包yumsearchpython|greppython-devel2.64位安裝python-devel.x86_64,32位安裝python-devel.i686,我這里安裝:sudoyuminstallpython-devel.x86_643. 進(jìn)入/usr/include/python2.7看一下現(xiàn)在有沒有Python.h,版本不同目錄名不同,我這里是2.7版本。其實(shí)也可以看到很多.h文件,python需要庫或頭文件都在這個(gè)地方。
安裝后可以查看到:
$cocotb-config -v1.4.1.dev0
對于沒有VCS等收費(fèi)EDA license的小伙伴建議安裝Verilator:
sudo apt-get install git make autoconf g++ flex bison -y  # First time prerequisitesgit clone http://git.veripool.org/git/verilator   # Only first timeunsetenv VERILATOR_ROOT  # For csh; ignore error if on bashunset VERILATOR_ROOT  # For bashcdverilatorautoconf        # Create ./configure script./configuremake -j$(nproc)sudomakeinstall

example

在cocotb中給出的example中,endian_swapper例子的測試框架為:

e07e8c38-2a05-11ec-82a8-dac502259ad0.png

測試框架和我們在平常的測試框架行為基本相同,不同是cocotb為Driver、Monitor、Scoreboard等都提供了基礎(chǔ)類庫供調(diào)用,對于搭建仿真平臺(tái)應(yīng)該有較好的幫助。而cocotb中提供的TestFactory,能夠方便的進(jìn)行測試用例的生成:
factory = TestFactory(run_test)factory.add_option("data_in",                 [random_packet_sizes])factory.add_option("config_coroutine",        [None, randomly_switch_config])factory.add_option("idle_inserter",           [None, wave, intermittent_single_cycles, random_50_percent])factory.add_option("backpressure_inserter",   [None, wave, intermittent_single_cycles, random_50_percent])factory.generate_tests()
這里根據(jù)輸入的參數(shù),factory會(huì)生成32個(gè)testcase用于測試,感覺還是蠻高效的。在仿真完成后,能夠自動(dòng)匯總測試結(jié)果:

寫在最后

之所以探索下cocotb,主要原因是雖然SpinalHDL測試框架和cocotb差不多,但目前SpinalHDL尚未集成對VCS仿真器的集成(而我又沒打算自己集成進(jìn)去)。而在做FPGA設(shè)計(jì)時(shí),若代碼里集成了Xilinx/Altera的IP,那么在SpinalHDL的框架里就沒辦法進(jìn)行仿真了,而cocotb則是一個(gè)不錯(cuò)的選擇(若可以選,我仍選SpinalHDL,設(shè)計(jì)仿真一條龍,當(dāng)然現(xiàn)在也可以,對于Mem、FIFO等常用IP SpinalHDL還是有提供的,或者我們自己按需定制實(shí)現(xiàn)IP也可以的,SpinalHDL寫IP簡直不要太爽)。

責(zé)任編輯:haq
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21568

    瀏覽量

    600616
  • 軟件
    +關(guān)注

    關(guān)注

    69

    文章

    4621

    瀏覽量

    87001

原文標(biāo)題:cocotb初探

文章出處:【微信號:Open_FPGA,微信公眾號:OpenFPGA】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    端到端測試用怎么寫

    編寫端到端測試用是確保軟件系統(tǒng)從頭到尾能夠正常工作的關(guān)鍵步驟。以下是一個(gè)詳細(xì)的指南,介紹如何編寫端到端測試用: 一、理解端到端測試 端到
    的頭像 發(fā)表于 09-20 10:29 ?240次閱讀

    是德科技獲得5G NR FR1 1024-QAM 解調(diào)測試用的認(rèn)證

    是德科技(Keysight Technologies,Inc.)日前宣布獲得了基于3GPP TS 38.521-4測試規(guī)范的 5G NR FR1 1024-QAM 解調(diào)測試用的認(rèn)證。這些測試
    的頭像 發(fā)表于 07-31 16:46 ?841次閱讀

    鑒源實(shí)驗(yàn)室·ISO 26262中測試用的得出方法-等價(jià)類的生成和分析

    標(biāo)準(zhǔn)規(guī)范中給出了單元、集成、系統(tǒng)測試各階段的建議測試使用方法,設(shè)計(jì)生成測試用的建議方法包括需求分析、等價(jià)類的生成和分析、邊界值分析、基于已有經(jīng)驗(yàn)和知識(shí)的錯(cuò)誤推測等等,從本篇開始我們
    的頭像 發(fā)表于 07-30 15:37 ?374次閱讀
    鑒源實(shí)驗(yàn)室·ISO 26262中<b class='flag-5'>測試用</b><b class='flag-5'>例</b>的得出方法-等價(jià)類的生成和分析

    python寫驗(yàn)證環(huán)境cocotb

    本文介紹了cocotb的安裝、python tb文件的寫法、用xrun仿真cocotb的腳本等,我們來看看體驗(yàn)如何。
    的頭像 發(fā)表于 07-24 09:38 ?399次閱讀
    用<b class='flag-5'>python</b>寫驗(yàn)證環(huán)境<b class='flag-5'>cocotb</b>

    LitePoint與三星電子合作支持FiRa 2.0物理層安全測距測試用

    先進(jìn)無線測試解決方案提供商LitePoint與三星電子宣布緊密合作,支持FiRa 2.0物理層(PHY)一致性測試規(guī)范內(nèi)定義的新安全測試用
    的頭像 發(fā)表于 05-16 11:26 ?479次閱讀

    DEKRA被CSA指定為Zigbee統(tǒng)一測試工具開發(fā)和優(yōu)化新功能和測試用

    DEKRA德凱被CSA聯(lián)盟(Connectivity Standards Alliance,CSA)指定負(fù)責(zé)為Zigbee統(tǒng)一測試工具(Zigbee Unified Test Harness,ZUTH)開發(fā)和優(yōu)化新功能和測試用
    的頭像 發(fā)表于 04-09 14:10 ?423次閱讀

    PTCRB的測試用類認(rèn)證等級分類介紹

    PTCRB的測試用類認(rèn)證等級CategoryA/B/EA類項(xiàng):測試用在商用系統(tǒng)上經(jīng)過完全驗(yàn)證;必測項(xiàng)且需要結(jié)果為PASSB類項(xiàng):測試用
    的頭像 發(fā)表于 03-14 16:46 ?321次閱讀
    PTCRB的<b class='flag-5'>測試用</b>類認(rèn)證等級分類介紹

    是德科技首批3GPP Release 16 16/32測試用獲得批準(zhǔn)

    本次驗(yàn)證涵蓋單個(gè)和多個(gè)預(yù)編碼矩陣指示符測試用,主要面向在頻分雙工和時(shí)分雙工頻段上運(yùn)行的 16/32 通道發(fā)射機(jī)
    的頭像 發(fā)表于 02-21 14:14 ?370次閱讀

    磁盤eCryptfs加密測試用

    apt安裝用戶態(tài)工具ecryptfs-utils即可。 4.1、測試用 下圖是一個(gè)腳本基礎(chǔ)測試用。先創(chuàng)建test目錄和文件hello,
    的頭像 發(fā)表于 11-29 11:27 ?1038次閱讀
    磁盤eCryptfs加密<b class='flag-5'>測試用</b><b class='flag-5'>例</b>

    一文了解導(dǎo)入測試數(shù)據(jù)自動(dòng)化生成測試用的方法

    作者|Poplar小編|吃不飽概述在模型開發(fā)過程中,測試是一個(gè)不可或缺的環(huán)節(jié)。在測試過程中,測試用的編寫是所有測試工程師關(guān)注的重點(diǎn)和難點(diǎn)。
    的頭像 發(fā)表于 11-23 08:24 ?745次閱讀
    一文了解導(dǎo)入<b class='flag-5'>測試</b>數(shù)據(jù)自動(dòng)化生成<b class='flag-5'>測試用</b><b class='flag-5'>例</b>的方法

    是德科技成功驗(yàn)證3GPP Release 17 NTN標(biāo)準(zhǔn)測試用

    2023年11月14日,是德科技(Keysight Technologies,Inc.)成功驗(yàn)證了針對 3GPP Rel-17 標(biāo)準(zhǔn)的 NB-IoT NTN 一致性測試用。該測試用
    的頭像 發(fā)表于 11-15 09:33 ?816次閱讀

    是德科技驗(yàn)證首個(gè)協(xié)議一致性測試用

    (3GPP)第 17 版 (Rel-17) 標(biāo)準(zhǔn)驗(yàn)證了首個(gè)協(xié)議一致性測試用。該經(jīng)過驗(yàn)證的測試用用于是德科技的 5G 網(wǎng)絡(luò)
    的頭像 發(fā)表于 11-14 16:01 ?726次閱讀

    TPT自動(dòng)生成測試用

    方法。TASMO不僅可以測量覆蓋率,還可以通過自動(dòng)生成測試數(shù)據(jù)來填補(bǔ)覆蓋率的空白。在TPT 19中,TASMO更進(jìn)一步,因?yàn)?b class='flag-5'>測試用可以直接從形式化的需求中創(chuàng)建。
    的頭像 發(fā)表于 11-06 17:30 ?802次閱讀
    TPT自動(dòng)生成<b class='flag-5'>測試用</b><b class='flag-5'>例</b>

    如何構(gòu)建用于Skydel GNSS模擬仿真的SNMP代理方式?

    使用SkydelAPI構(gòu)建測試方案憑借其現(xiàn)代、強(qiáng)大且直觀的API,德思特SafranGNSS模擬引擎Skydel免費(fèi)提供了Python、C#、C++和Labview的開源客戶端,它具
    的頭像 發(fā)表于 11-01 17:26 ?627次閱讀
    如何<b class='flag-5'>構(gòu)建</b><b class='flag-5'>用于</b>Skydel GNSS模擬<b class='flag-5'>仿真</b>的SNMP代理方式?

    Newspaper:用于提取和整理文章的python

    Newspaper 是一個(gè)很棒的python,用于提取和整理文章。 它有以下的優(yōu)點(diǎn): 多線程文章下載框架 識(shí)別新聞網(wǎng)址 從html提取文本 從html提取頂部圖像 從html提取所有圖像 從文本
    的頭像 發(fā)表于 10-30 14:24 ?643次閱讀