0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA基礎(chǔ)知識:什么是FPGA,為何需要FPGA?

eeDesigner ? 來源:物聯(lián)網(wǎng)評論 ? 作者:物聯(lián)網(wǎng)評論 ? 2022-01-13 14:04 ? 次閱讀

最佳處理解決方案常常是由 RISC、CISC、圖形處理器FPGA 的組合提供,或由 FPGA 單獨(dú)提供,或以硬處理器內(nèi)核作為部分結(jié)構(gòu)的 FPGA 提供。然而,許多設(shè)計(jì)人員不熟悉 FPGA 的功能、其發(fā)展脈絡(luò)以及如何使用 FPGA。本系列文章由 5 部分組成,這是第 1 部分,將討論 FPGA 的基礎(chǔ)知識,并介紹主要提供商的一些示例解決方案。第 2 部分、第 3 部分、第 4 部分和第 5 部分將重點(diǎn)關(guān)注由 Lattice Semiconductor、Microchip、Altera 和 Xilinx FPGA 器件系列設(shè)計(jì)工具。

設(shè)計(jì)人員一直在尋找系統(tǒng)構(gòu)架的構(gòu)建方法,以提供可滿足所有應(yīng)用需求的最佳計(jì)算解決方案。在許多情況下,這種最佳解決方案常常需要使用現(xiàn)場可編程門陣列 (FPGA),但令人遺憾的是,很多設(shè)計(jì)人員對這些器件的功能以及如何進(jìn)行整合并不熟悉。

本文將簡要說明可從使用 FPGA 中受益的設(shè)計(jì)場景。然后,在闡述基本工作原理之后,將會介紹一些有趣的 FPGA 解決方案和開發(fā)套件。

為何要使用 FPGA?

計(jì)算應(yīng)用多種多樣,能滿足應(yīng)用需求的最佳方法可能因應(yīng)用而異,包括現(xiàn)成的微處理器 (MPU) 和微控制器 (MCU)、現(xiàn)成的圖形處理單元 (GPU)、FPGA 以及定制片上系統(tǒng) (SoC) 器件。為了確定使用哪一種方法,需要仔細(xì)審視應(yīng)用需求和考慮事項(xiàng)。

例如,當(dāng)研究 5G 基站等尖端技術(shù)時,設(shè)計(jì)人員需要考慮到基礎(chǔ)標(biāo)準(zhǔn)和協(xié)議仍在不斷發(fā)展中。這意味著設(shè)計(jì)人員需要能夠快速有效地應(yīng)對任何超出控制范圍的規(guī)范變更。

同樣,他們需要能夠靈活地響應(yīng)未來在系統(tǒng)部署到現(xiàn)場后發(fā)生的標(biāo)準(zhǔn)和協(xié)議變更。此外,他們還必須能夠響應(yīng)系統(tǒng)功能中的意外錯誤或系統(tǒng)安全性方面的漏洞,修改現(xiàn)有功能或添加新功能,從而延長系統(tǒng)使用壽命。

盡管最高性能通常是由 SoC 提供,但這種方法既昂貴又耗時。另外,在芯片結(jié)構(gòu)中實(shí)現(xiàn)的任何算法本質(zhì)上都是“凍結(jié)在硅片中”。鑒于上述考慮,這種固有的不靈活性便成了問題。為了找到高性能和靈活性的最優(yōu)平衡點(diǎn),需要一條替代路線。該路線常常由 FPGA、微處理器/微控制器與 FPGA 的組合或以硬處理器內(nèi)核作為部分結(jié)構(gòu)的 FPGA 提供。

什么是 FPGA?

這是一個很難回答的問題,因?yàn)閷Σ煌藖碚f,F(xiàn)PGA 是不同的東西。而且,F(xiàn)PGA 的類型有很多,每種類型都有不同的能力和功能組合。

可編程結(jié)構(gòu)是任何 FPGA 的核心(即“FPGA-dom”的界定方面),并以可編程邏輯塊陣列的形式呈現(xiàn)(圖 1a)。每個邏輯塊都是多種元件的集合,包括查找表 (LUT)、多路復(fù)用器寄存器,所有這些元件都可以進(jìn)行配置(編程)以根據(jù)需要執(zhí)行操作(圖 2)。

article-2019november-fundamentals-of-fpgas-fig1.jpg?ts=6d904c63-4dfe-46f0-a5b8-f0e98a03ebe9&la=zh-CN-rmb

圖 1:最簡單的 FPGA 僅包含可編程結(jié)構(gòu)和可配置 GPIO (a),不同架構(gòu)是在此基本結(jié)構(gòu)上增加其他元件而形成:SRAM 塊、PLL 和時鐘管理器 (b),DSP 塊和 SERDES 接口 (c),以及硬處理器內(nèi)核和外設(shè) (d)。(圖片來源:Max Maxfield)

article-2019november-fundamentals-of-fpgas-fig2.jpg?ts=75a46b0e-cf81-4634-afc6-572d2238c44e&la=zh-CN-rmb

圖 2:每個可編程邏輯塊都是多種元件的集合,包括查找表、多路復(fù)用器和寄存器,所有這些元件都可以進(jìn)行配置(編程)以根據(jù)需要執(zhí)行操作。(圖片來源:Max Maxfield)

許多 FPGA 使用 4 輸入 LUT,可配置為實(shí)現(xiàn)任何 4 輸入邏輯功能。為了更好地支持某些應(yīng)用采用的寬數(shù)據(jù)路徑,有些 FPGA 提供 6 輸入、7 輸入甚至 8 輸入 LUT。LUT 的輸出直接連接到邏輯塊輸出之一和多路復(fù)用器輸入之一。多路復(fù)用器的另一個輸入直接連接到邏輯塊輸入 (e)。多路復(fù)用器可以配置為選擇其中一個輸入。

多路復(fù)用器的輸出饋入寄存器輸入。每個寄存器都可以配置為邊沿觸發(fā)的觸發(fā)器或電平敏感鎖存器(盡管如此,但不建議在 FPGA 內(nèi)部使用鎖存器形式的異步邏輯)。每個寄存器的時鐘(或使能信號)可以配置為高電平有效或低電平有效。同樣,置位/復(fù)位輸入的有效電平也是可配置的。

這些邏輯塊可以被視為漂浮在“可編程互連之?!敝械摹翱删幊踢壿嬛畭u”。這種互連可配置為將任何邏輯塊的任何輸出連接到其他邏輯塊的任何輸入。同樣,F(xiàn)PGA 的主要輸入可以連接到任何邏輯塊的輸入,任何邏輯塊的輸出都可以用來驅(qū)動器件的主要輸出。

主要的通用輸入/輸出 (GPIO) 以組形式呈現(xiàn),每組可配置為支持不同的接口標(biāo)準(zhǔn),例如 LVCMOS、LVDS、LVTTL、HSTL 或 SSTL。另外,輸入的阻抗也是可配置的,輸出的壓擺率也一樣可配置。

FPGA 結(jié)構(gòu)進(jìn)一步擴(kuò)展可包括 SRAM 塊(稱為塊 RAM (BRAM))、鎖相環(huán) (PLL) 和時鐘管理器之類的東西(圖 1b)。此外,還可以添加數(shù)字信號處理 (DSP) 塊(DSP 切片)。它們包含可配置的乘法器和可配置的加法器,能夠執(zhí)行乘法累加 (MAC) 運(yùn)算(圖 1c)。

高速 SERDES 塊是 FPGA 的另一個常見特性,能支持千兆位串行接口。必須注意的是,并非所有 FPGA 都支持上述全部特性。不同 FPGA 針對不同的市場和應(yīng)用提供不同的特性集合。

FPGA 中的可編程結(jié)構(gòu)可用來實(shí)現(xiàn)所需的任何邏輯功能或功能集合,一直到處理器內(nèi)核甚至多個內(nèi)核。如果這些內(nèi)核是以可編程結(jié)構(gòu)實(shí)現(xiàn)的,則稱其為“軟內(nèi)核”。相較之下,有些 FPGA(通常稱為 SoC FPGA)包含一個或多個“硬內(nèi)核”處理器,其直接在硅片中實(shí)現(xiàn)(圖 1d)。這些硬處理器內(nèi)核可能包括浮點(diǎn)單元 (FPU) 和 L1/L2 高速緩存。

同樣,外設(shè)接口功能(如 CAN、I2C、SPI、UARTUSB)可以實(shí)現(xiàn)為可編程結(jié)構(gòu)中的軟內(nèi)核,但許多 FPGA 將其作為硬內(nèi)核在硅片中實(shí)現(xiàn)。處理器內(nèi)核、接口功能和可編程結(jié)構(gòu)之間的通信通常利用 AMBA 和 AXI 之類的高速總線實(shí)現(xiàn)。

第一批 FPGA 是由 Xilinx 于 1985 年推出上市,僅包含一個 8 x 8 可編程邏輯塊陣列(沒有 RAM 塊、DSP 塊等)。相比之下,當(dāng)今的高端 FPGA 可以包含數(shù)十萬個邏輯塊、數(shù)千個 DSP 塊和以兆位 (Mb) 計(jì)的 RAM??傮w而言,它們可能包含數(shù)十億個晶體管,相當(dāng)于數(shù)千萬個等效門(例如 2 輸入 NAND 門)。

備選配置技術(shù)

為了確定邏輯塊的功能和互連的布線,需要借助配置單元,后者可以用 0/1(斷開/接通)開關(guān)來形象地表示。這些單元還用于配置 GPIO 接口標(biāo)準(zhǔn)、輸入阻抗、輸出壓擺率等。根據(jù)具體 FPGA,這些配置單元可以采用如下三種技術(shù)之一來實(shí)現(xiàn):

反熔絲:這些配置單元是一次性可編程 (OTP) 單元,意味著一旦對器件進(jìn)行了編程,就無法撤回。此類器件往往僅限于太空和高安全性應(yīng)用。其銷量很小,因此價格很高,可謂昂貴的設(shè)計(jì)選擇。

閃存:像基于反熔絲的配置單元一樣,基于閃存的單元也是非易失性的。與反熔絲單元不同,閃存單元可以根據(jù)需要重新編程。閃存配置單元可以承受輻射,因而這些器件適合于太空應(yīng)用(不過要對上部金屬化層和封裝進(jìn)行修改)。

SRAM:采用這種手段時,配置數(shù)據(jù)存儲在外部存儲器中,每次 FPGA 上電時都要從存儲器中加載數(shù)據(jù)(或在動態(tài)配置情況下,按照指令要求加載數(shù)據(jù))。

對于配置單元基于反熔絲或閃存的 FPGA,優(yōu)勢在于它們是“即時接通”,功耗很小。這些技術(shù)的一個缺點(diǎn)是,除了用于創(chuàng)建芯片其余部分的基礎(chǔ) CMOS 工藝之外,他們還需要其他處理步驟。

對于配置單元基于 SRAM 技術(shù)的 FPGA,優(yōu)勢在于使用與芯片其余部分相同的 CMOS 工藝制造,并且具有更高的性能,因?yàn)樗ǔ1确慈劢z和閃存技術(shù)領(lǐng)先一代或兩代。主要缺點(diǎn)是 SRAM 配置單元比(相同技術(shù)節(jié)點(diǎn)的)反熔絲和閃存單元更耗電,并且容易因?yàn)檩椛涠l(fā)生單粒子翻轉(zhuǎn) (SEU)。

長期以來,后一個缺點(diǎn)導(dǎo)致基于 SRAM 的 FPGA 被認(rèn)為不適合用于航空航天應(yīng)用。最近,業(yè)界采用了特殊緩解策略,使得基于 SRAM 的 FPGA 與基于閃存的 FPGA 一同出現(xiàn)在“好奇號”火星漫游車等系統(tǒng)上。

利用 FPGA 提供靈活性

FPGA 適用于多種多樣的應(yīng)用,特別適合用于實(shí)現(xiàn)智能接口功能、電機(jī)控制、算法加速和高性能計(jì)算 (HPC)、圖像和視頻處理、機(jī)器視覺、人工智能 (AI)、機(jī)器學(xué)習(xí) (ML)、深度學(xué)習(xí) (DL)、雷達(dá)、波束賦形、基站以及通信。

一個簡單的例子是在其他使用不同接口標(biāo)準(zhǔn)或通信協(xié)議的器件之間提供智能接口。請考慮一個現(xiàn)有系統(tǒng),其中有一個應(yīng)用處理器使用舊式接口連接到相機(jī)傳感器和顯示設(shè)備(圖 3a)。

article-2019november-fundamentals-of-fpgas-fig3.jpg?ts=63a0ba9d-12ff-46d8-95e9-1981088da736&la=zh-CN-rmb

圖 3:FPGA 可用于在其他使用不同接口標(biāo)準(zhǔn)或通信協(xié)議的器件之間提供智能接口,從而延長基于舊式器件的現(xiàn)有設(shè)計(jì)的壽命。(圖片來源:Max Maxfield)

現(xiàn)在,假設(shè)系統(tǒng)的創(chuàng)建者希望將相機(jī)傳感器和顯示設(shè)備升級為更輕、更便宜、功耗更低的現(xiàn)代產(chǎn)品。唯一的問題是,兩個新外設(shè)或其中之一可能使用現(xiàn)代接口標(biāo)準(zhǔn),而原應(yīng)用處理器 (AP) 卻無法提供支持?;蛘?,它們可能支持完全不同的通信協(xié)議,例如移動行業(yè)處理器接口 (MIPI)。在這種情況下,采用支持多種 I/O 標(biāo)準(zhǔn)的 FPGA,再加之以某些軟 MIPI IP 內(nèi)核,將能提供一條快速、低成本、無風(fēng)險的升級路徑(圖 3b)。

再舉一個應(yīng)用例子,考慮一些計(jì)算密集型任務(wù),例如執(zhí)行雷達(dá)系統(tǒng)所需的信號處理或通信基站中的波束賦形。采用馮諾依曼或哈佛架構(gòu)的常規(guī)處理器非常適合某些任務(wù),但不適合于需要重復(fù)執(zhí)行相同操作序列的任務(wù)。這是因?yàn)檫\(yùn)行單個線程的單個處理器內(nèi)核一次只能執(zhí)行一條指令(圖 4a)。

article-2019november-fundamentals-of-fpgas-fig4.jpg?ts=947b05c2-7d1f-479f-b410-366c942cf7d4&la=zh-CN-rmb

圖 4:微處理器一次只能(順序地)執(zhí)行一條指令,與此不同的是,F(xiàn)PGA 中的多個功能塊可以同時(并發(fā)地)執(zhí)行。另外,F(xiàn)PGA 能以大規(guī)模并行方式實(shí)現(xiàn)適當(dāng)?shù)乃惴ā#▓D片來源:Max Maxfield)

相比之下,F(xiàn)PGA 中可以同時執(zhí)行多個功能,支持以流水線方式完成一系列操作,進(jìn)而實(shí)現(xiàn)更大的吞吐量。同樣,F(xiàn)PGA 不像處理器那樣執(zhí)行相同的操作,例如對 1,000 對數(shù)據(jù)值再執(zhí)行 1,000 次運(yùn)算,而是在可編程結(jié)構(gòu)中實(shí)例化 1,000 個加法器,從而在單個時鐘周期中以大規(guī)模并行方式執(zhí)行相同的計(jì)算(圖 4b)。

哪些廠商制造 FPGA?

這是一幅不斷演變的畫卷。具備最高容量和性能的高端器件有兩家主要制造商,分別是 Intel(其收購了 Altera)和 Xilinx。

Intel 和 Xilinx 提供從低端 FPGA 到高端 SoC FPGA 的各種產(chǎn)品。另一家?guī)缀跬耆珜W⒂?FPGA 的供應(yīng)商是 Lattice Semiconductor,其針對的是中低端應(yīng)用。最后但并非最不重要的一家是 Microchip Technology(通過收購 ActelAtmelMicrosemi),現(xiàn)在可提供多個系列的中小型 FPGA 和低端 SoC FPGA 類產(chǎn)品。

由于產(chǎn)品系列眾多,每個系列提供不同的資源、性能、容量和封裝樣式,因此為眼前的任務(wù)選擇最佳器件可能很棘手。下面是一些例子:Intel 器件;Lattice Semiconductor 器件;以及 Xilinx 器件。

如何使用 FPGA 進(jìn)行設(shè)計(jì)?

傳統(tǒng)的 FPGA 設(shè)計(jì)方法是工程師使用 Verilog 或 VHDL 之類的硬件描述語言來捕獲設(shè)計(jì)意圖。首先可以對這些描述進(jìn)行仿真,以驗(yàn)證其是否符合要求,然后將其傳送給綜合工具,生成用于配置(編程)FPGA 的配置文件。

每家 FPGA 供應(yīng)商要么有自己內(nèi)部開發(fā)的工具鏈,要么提供專業(yè)供應(yīng)商定制的工具版本。無論哪種情況,都可以從 FPGA 供應(yīng)商網(wǎng)站獲得這些工具。另外,成熟工具套件可能有免費(fèi)或低成本的版本。

為使 FPGA 更易于為軟件開發(fā)人員所用,一些 FPGA 供應(yīng)商現(xiàn)在提供高級綜合 (HLS) 工具。這些工具會解析在 C、C ++ 或 OpenCL 中以高級抽象所捕獲的期望行為的算法描述,并生成輸入以提供給較低級的綜合引擎。

對于希望入門的設(shè)計(jì)人員,有許多開發(fā)和評估板可用,每種板提供不同的功能和特性。這里給出三個例子:DFRobot 的 DFR0600 開發(fā)套件,其具有 Xilinx 的 Zynq-7000 SoC FPGA;Terasic Inc. 的 DE10 Nano,其具有 Intel 的 Cyclone V SoC FPGA;ICE40HX1K-STICK-EVN 評估板,其具有 Lattice Semiconductor 的低功耗 iCE40 FPGA。

若設(shè)計(jì)人員計(jì)劃使用基于 FPGA 的 PCIe 子卡來加速 X86 主板上運(yùn)行的應(yīng)用程序,可以關(guān)注 Alveo PCIe 子卡等產(chǎn)品,也是由 Xilinx 提供。

總結(jié)

最佳設(shè)計(jì)解決方案常常由 FPGA、處理器與 FPGA 的組合或以硬處理器內(nèi)核作為部分結(jié)構(gòu)的 FPGA 提供。

FPGA 多年來發(fā)展迅速,能夠滿足靈活性、處理速度、功耗等多方面的設(shè)計(jì)需求,適合于廣泛的應(yīng)用。

審核編輯:符乾江

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21539

    瀏覽量

    600528
  • mcu
    mcu
    +關(guān)注

    關(guān)注

    146

    文章

    16802

    瀏覽量

    349367
  • MPU
    MPU
    +關(guān)注

    關(guān)注

    0

    文章

    334

    瀏覽量

    48663
收藏 人收藏

    評論

    相關(guān)推薦

    哪有FPGA的verilog編程基礎(chǔ)知識

    沒接觸過FPGA開發(fā),那個verilog編程有什么入門基礎(chǔ)知識學(xué)習(xí)的?
    發(fā)表于 04-29 23:09

    FPGA基礎(chǔ)知識,初學(xué)者必備。

    FPGA基礎(chǔ)知識,初學(xué)者必備。
    發(fā)表于 11-27 15:03

    FPGA架構(gòu)和應(yīng)用基礎(chǔ)知識

    ASIC慢3到4倍。本文介紹了FPGA基礎(chǔ)知識FPGA架構(gòu)模塊,包括I / O焊盤,邏輯塊和開關(guān)矩陣。FPGA是VLSI的一些新興趨勢領(lǐng)域。FP
    發(fā)表于 12-14 17:39

    FPGA基礎(chǔ)知識點(diǎn)及工作原理是什么

    FPGA基礎(chǔ)知識點(diǎn)及工作原理是什么
    發(fā)表于 04-30 06:14

    FPGA基礎(chǔ)知識簡介

    FPGA基礎(chǔ)知識簡介 FPGA技術(shù)的發(fā)展歷史縱觀數(shù)字集成電路的發(fā)展歷史,經(jīng)歷了從電子管、晶體管、小規(guī)模集成電路到大規(guī)模以及超大規(guī)模集成
    發(fā)表于 02-09 08:34 ?1315次閱讀

    FPGA核心知識詳解(1):FPGA入門必備

    電子發(fā)燒友網(wǎng)核心提示 :對初級FPGA工程師而言,必須掌握FPGA相關(guān)基礎(chǔ)知識、精通硬件描述語言、熟練數(shù)字電路設(shè)計(jì)、加強(qiáng)工程項(xiàng)目的實(shí)踐。應(yīng)廣大初級FPGA工程師/
    發(fā)表于 11-09 13:55 ?8040次閱讀

    新手的FPGA學(xué)習(xí)必備的四個基礎(chǔ)知識詳解

    FPGA 已成為現(xiàn)今的技術(shù)熱點(diǎn)之一,無論學(xué)生還是工程師都希望跨進(jìn)FPGA的大門。網(wǎng)絡(luò)上各種開發(fā)板、培訓(xùn)班更是多如牛毛,仿佛在告訴你不懂FPGA你就OUT啦。那么我們要玩轉(zhuǎn)FPGA必須具
    發(fā)表于 01-12 05:06 ?4.4w次閱讀

    FPGA教程之CPLD與FPGA基礎(chǔ)知識說明

    本文檔詳細(xì)介紹的是FPGA教程之CPLD與FPGA基礎(chǔ)知識說明主要內(nèi)容包括了:一、復(fù)雜可編程邏輯器件簡介二、CPLD的組成與特點(diǎn)三、FPGA的組成與特點(diǎn)四、CPLD與
    發(fā)表于 02-27 17:09 ?32次下載
    <b class='flag-5'>FPGA</b>教程之CPLD與<b class='flag-5'>FPGA</b>的<b class='flag-5'>基礎(chǔ)知識</b>說明

    FPGA基礎(chǔ)知識培訓(xùn)教程免費(fèi)下載

    本文檔的主要人詳細(xì)介紹的是FPGA基礎(chǔ)知識培訓(xùn)教程免費(fèi)下載包括了:1.什么是FPGA ,2.Actel FPGA整體概述 3.Actel FPGA
    發(fā)表于 04-23 08:00 ?8次下載
    <b class='flag-5'>FPGA</b><b class='flag-5'>基礎(chǔ)知識</b>培訓(xùn)教程免費(fèi)下載

    FPGA硬件基礎(chǔ)知識FPGA的邏輯單元工程文件免費(fèi)下載

    本文檔的主要內(nèi)容詳細(xì)介紹的是FPGA硬件基礎(chǔ)知識FPGA的邏輯單元工程文件免費(fèi)下載。
    發(fā)表于 12-10 15:00 ?16次下載

    FPGA的入門基礎(chǔ)知識詳細(xì)說明

    本文檔的主要內(nèi)容詳細(xì)介紹的是FPGA的入門基礎(chǔ)知識詳細(xì)說明。
    的頭像 發(fā)表于 12-20 10:13 ?9371次閱讀
    <b class='flag-5'>FPGA</b>的入門<b class='flag-5'>基礎(chǔ)知識</b>詳細(xì)說明

    FPGA基礎(chǔ)知識----FPGA 簡介

    可以通過編程來改變內(nèi)部結(jié)構(gòu)的芯片。FPGA 功能實(shí)現(xiàn):需要通過編程即設(shè)計(jì)硬件描述語言,經(jīng)過 EDA 工具
    發(fā)表于 11-30 17:21 ?43次下載
    <b class='flag-5'>FPGA</b><b class='flag-5'>基礎(chǔ)知識</b>----<b class='flag-5'>FPGA</b> 簡介

    如何學(xué)習(xí)FPGA?FPGA學(xué)習(xí)必備的基礎(chǔ)知識

    FPGA已成為現(xiàn)今的技術(shù)熱點(diǎn)之一,無論學(xué)生還是工程師都希望跨進(jìn)FPGA的大門。網(wǎng)絡(luò)上各種開發(fā)板、培訓(xùn)班更是多如牛毛,仿佛在告訴你不懂FPGA你就OUT啦。那么我們要玩轉(zhuǎn)FPGA必須具備
    的頭像 發(fā)表于 10-27 17:43 ?732次閱讀

    基于FPGA的加速基礎(chǔ)知識

    電子發(fā)燒友網(wǎng)站提供《基于FPGA的加速基礎(chǔ)知識.pdf》資料免費(fèi)下載
    發(fā)表于 09-18 10:12 ?0次下載
    基于<b class='flag-5'>FPGA</b>的加速<b class='flag-5'>基礎(chǔ)知識</b>

    FPGA基礎(chǔ)知識介紹

    電子發(fā)燒友網(wǎng)站提供《FPGA基礎(chǔ)知識介紹.pdf》資料免費(fèi)下載
    發(fā)表于 02-23 09:45 ?27次下載