0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

如何在工程的XDC文件里加入GT位置約束

FPGA技術(shù)江湖 ? 來(lái)源:XILINX開(kāi)發(fā)者社區(qū) ? 作者:XILINX開(kāi)發(fā)者社區(qū) ? 2022-03-18 11:10 ? 次閱讀

Step 1

首先,打開(kāi)最新版本的Vivado(當(dāng)前為2021.2):

1. 新建一個(gè)Vivado工程,將器件直接選定為board – VPK120。

2. 接著新加入一個(gè)以太網(wǎng)IP core,選好自己所需要的IP配置,本文以MRMAC IP為例,并在以太網(wǎng)IP的GT配置那頁(yè),選擇GTM和156.25MHz時(shí)鐘。

3. 然后就可以右鍵點(diǎn)擊IP,選擇Open IP Example Design…

Step 2

例子工程產(chǎn)生完畢之后,在工程的XDC文件里加入GT位置約束和參考時(shí)鐘位置約束,示例如下:

set_property PACKAGE_PIN AF45 [get_ports gt_ref_clk_p]

set_property PACKAGE_PIN BG52 [get_ports {gt_rxp_in[0]}]

1. 這個(gè)參考時(shí)鐘是VPK120板上自帶的,可進(jìn)行配置,產(chǎn)生不同頻率的參考時(shí)鐘。

2. 另外,VPK120板上有2個(gè)QSFP-DD光模塊插槽,此處GT的(管腳)位置約束,正是為了將GT選在其中一個(gè)光模塊插槽上面,方便之后的硬件上面連接使用。

Step 3

等該例子工程跑完綜合,實(shí)現(xiàn),并產(chǎn)生bit文件之后,請(qǐng)將PDI文件加載到你的VPK120里面:

1. 工程上板之后,接下來(lái)第一步,我們要利用IP內(nèi)部自帶的in-system IBERT,先確認(rèn)鏈路是否暢通,能正常工作。點(diǎn)擊Serial I/O Link這一頁(yè)里面的create links,將Quad_203里的4路GT全部添加為New Links。

a.全部設(shè)為Near-End PCS(如果外部光模塊和光纖已經(jīng)都接上了,此處可選None;建議先做Near-End PCS,再做None)。

b.TX和RX Pattern,全部設(shè)為PRBS31(一般8B10B數(shù)據(jù)應(yīng)用選擇PRBS7,而64B66B數(shù)據(jù)則選PRBS31)。

c.分別做下整個(gè)的TX Reset和RX Reset。

d.檢查PLL鎖定,線速率和TX/RXUSERCLK頻率正確,Bits增加,Errors保持,以及BER不斷減小,即可確認(rèn)鏈路工作正常。

84087cf4-a668-11ec-952b-dac502259ad0.png

e.如果PLL無(wú)法鎖定,請(qǐng)確認(rèn)參考時(shí)鐘頻率是否已經(jīng)設(shè)置正確,以及之前添加的GT和時(shí)鐘位置約束是否已經(jīng)正確起效。

f.如果PLL鎖定,線速率也正確,但是誤碼不斷增加,尤其是在loopback關(guān)閉的模式中,請(qǐng)先按照GT的調(diào)試過(guò)程,如調(diào)節(jié)TX預(yù)加重等,調(diào)好板上SI,確保沒(méi)有誤碼。

2. 鏈路OK之后,將TX/RX Pattern改回User Design,并依次做一下TX Reset和RX Reset。然后我們就可以通過(guò)讀取MRMAC內(nèi)部寄存器,確認(rèn)以太網(wǎng)的link是否已經(jīng)能成功連接,起來(lái)工作了。

a.打開(kāi)xsdb,然后connect。

b.再ta 1,選擇Versal xcvp1202。

c.最后就可以讀取MRMAC寄存器狀態(tài)了,下面給出了一組讀寫(xiě)寄存器的示例,在做了簡(jiǎn)單的復(fù)位后,直接讀了下以太網(wǎng)的RX狀態(tài)。

d.這里提供的是一組讀寫(xiě)寄存器命令的示例,請(qǐng)按照自己的IP配置,讀寫(xiě)相應(yīng)的寄存器。寄存器具體定義,可以在mrmac_registers.csv文件里面找到(注意下面地址經(jīng)過(guò)十六進(jìn)制和十進(jìn)制的轉(zhuǎn)換)。

mwr -force 2752053252 0x00000FFF
mwr -force 2752053256 0x40000A24
mwr -force 2752053264 0x00000033
mwr -force 2752053260 0x00000C03
mwr -force 2752053456 0x00000000
mwr -force 2752057552 0x00000000
mwr -force 2752061648 0x00000000
mwr -force 2752065744 0x00000000
mwr -force 2752053252 0x00000000
mwr -force 2752053292 0x00000001
mwr -force 2752512000 0x00000F02
mwr -force 2752512000 0x00000002
mrd -force 2752577544
mwr -force 2752055108 0xFFFFFFFF
mwr -force 2752059204 0xFFFFFFFF
mwr -force 2752063300 0xFFFFFFFF
mwr -force 2752067396 0xFFFFFFFF
mrd -force 2752055108
mrd -force 2752059204
mrd -force 2752063300
mrd -force 2752067396

e.最后,可以得到正確結(jié)果如下,說(shuō)明MRMAC已經(jīng)link up了:

xsdb% mrd -force 2752055108

A4090744: 00010007

原文標(biāo)題:如何在VPK120上實(shí)現(xiàn)MRMAC以太網(wǎng)IP

文章出處:【微信公眾號(hào):FPGA技術(shù)江湖】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

審核編輯:彭菁

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 以太網(wǎng)
    +關(guān)注

    關(guān)注

    40

    文章

    5319

    瀏覽量

    170489
  • 光模塊
    +關(guān)注

    關(guān)注

    75

    文章

    1219

    瀏覽量

    58774
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    799

    瀏覽量

    66137

原文標(biāo)題:如何在VPK120上實(shí)現(xiàn)MRMAC以太網(wǎng)IP

文章出處:【微信號(hào):HXSLH1010101010,微信公眾號(hào):FPGA技術(shù)江湖】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    無(wú)法在XDC文件中設(shè)置maxdelay約束

    XDC文件中設(shè)置maxdelay約束。 (摘自u(píng)g911:MAXDELAY:Vivado Design Suite在XDC中不支持此約束。)
    發(fā)表于 10-25 15:17

    關(guān)于XDC約束文件,你需要知道的幾點(diǎn)

    Constraints)之外,還加入了XILINX FPGA特有的位置物理約束等特性。以下是在實(shí)際使用中,經(jīng)歷過(guò)一些經(jīng)驗(yàn)教訓(xùn)后,體會(huì)的幾點(diǎn)我們?cè)诮M織XDC
    發(fā)表于 02-08 02:10 ?5002次閱讀

    FPGA設(shè)計(jì)約束技巧之XDC約束之I/O篇 (上)

    從UCF到XDC的轉(zhuǎn)換過(guò)程中,最具挑戰(zhàn)的可以說(shuō)便是本文將要討論的I/O約束了。 I/O 約束的語(yǔ)法 XDC 中可以用于 I/O 約束的命令包
    發(fā)表于 11-17 18:54 ?1.3w次閱讀
    FPGA設(shè)計(jì)<b class='flag-5'>約束</b>技巧之<b class='flag-5'>XDC</b><b class='flag-5'>約束</b>之I/O篇 (上)

    FPGA設(shè)計(jì)約束技巧之XDC約束之I/O篇(下)

    XDC中的I/O約束雖然形式簡(jiǎn)單,但整體思路和約束方法卻與UCF大相徑庭。加之FPGA的應(yīng)用特性決定了其在接口上有多種構(gòu)建和實(shí)現(xiàn)方式,所以從UCF到XDC的轉(zhuǎn)換過(guò)程中,最具挑戰(zhàn)的可以說(shuō)
    發(fā)表于 11-17 19:01 ?7189次閱讀
    FPGA設(shè)計(jì)<b class='flag-5'>約束</b>技巧之<b class='flag-5'>XDC</b><b class='flag-5'>約束</b>之I/O篇(下)

    XDC的時(shí)鐘約束及優(yōu)勢(shì)

    Xilinx?的新一代設(shè)計(jì)套件 Vivado 中引入了全新的約束文件 XDC,在很多規(guī)則和技巧上都跟上一代產(chǎn)品 ISE 中支持的 UCF 大不相同,給使用者帶來(lái)許多額外挑戰(zhàn)。Xilinx 工具專家
    發(fā)表于 11-18 03:59 ?3546次閱讀

    XDC約束及物理約束的介紹

    觀看視頻,了解和學(xué)習(xí)有關(guān)XDC約束,包括時(shí)序,以及物理約束相關(guān)知識(shí)。
    的頭像 發(fā)表于 01-07 07:10 ?6090次閱讀
    <b class='flag-5'>XDC</b><b class='flag-5'>約束</b>及物理<b class='flag-5'>約束</b>的介紹

    XDC時(shí)鐘約束的三種基本語(yǔ)法

    XDC 是 Xilinx Design Constraints 的簡(jiǎn)寫(xiě),但其基礎(chǔ)語(yǔ)法來(lái)源于業(yè)界統(tǒng)一的約束規(guī)范SDC。XDC 在本質(zhì)上就是 Tcl 語(yǔ)言,但其僅支持基本的 Tcl 語(yǔ)法如變量、列表
    的頭像 發(fā)表于 01-30 17:29 ?9503次閱讀

    Vivado IDE全面了解XDC文件約束順序

    Vivado IDE約束管理器將任何已編輯的約束保存回XDC文件中的原始位置,但不會(huì)保存在Tcl腳本中。 任何新
    的頭像 發(fā)表于 11-13 10:53 ?4051次閱讀
    Vivado IDE全面了解<b class='flag-5'>XDC</b><b class='flag-5'>文件</b>的<b class='flag-5'>約束</b>順序

    Vivado中XDC文件約束順序

    很對(duì)人在使用Vivado時(shí)喜歡使用多個(gè)約束文件對(duì)整個(gè)工程進(jìn)行約束,同時(shí)Vivado允許設(shè)計(jì)者使用一個(gè)或多個(gè)約束
    的頭像 發(fā)表于 10-13 16:56 ?6792次閱讀

    Vivado設(shè)計(jì)約束功能概述

    XDC約束可以用一個(gè)或多個(gè)XDC文件,也可以用Tcl腳本實(shí)現(xiàn);XDC文件或Tcl腳本都要
    的頭像 發(fā)表于 06-30 11:27 ?3606次閱讀

    XDC約束技巧之時(shí)鐘篇

    Xilinx的新一代設(shè)計(jì)套件Vivado中引入了全新的約束文件 XDC,在很多規(guī)則和技巧上都跟上一代產(chǎn)品 ISE 中支持的 UCF 大不相同,給使用者帶來(lái)許多額外挑戰(zhàn)。Xilinx 工具專家告訴你,其實(shí)用好
    的頭像 發(fā)表于 03-28 09:51 ?2327次閱讀

    XDC約束技巧之CDC篇

    上一篇《XDC 約束技巧之時(shí)鐘篇》介紹了 XDC 的優(yōu)勢(shì)以及基本語(yǔ)法,詳細(xì)說(shuō)明了如何根據(jù)時(shí)鐘結(jié)構(gòu)和設(shè)計(jì)要求來(lái)創(chuàng)建合適的時(shí)鐘約束。我們知道 XDC
    的頭像 發(fā)表于 04-03 11:41 ?1930次閱讀

    XDC約束技巧之I/O篇(下)

    繼《XDC 約束技巧之 I/O 篇(上)》詳細(xì)描述了如何設(shè)置 Input 接口 約束后,我們接著來(lái)聊聊怎樣設(shè)置 Output 接口約束,并分析 UCF 與
    的頭像 發(fā)表于 04-10 11:00 ?1008次閱讀

    何在Vivado中添加時(shí)序約束呢?

    今天介紹一下,如何在Vivado中添加時(shí)序約束,Vivado添加約束的方法有3種:xdc文件、時(shí)序約束
    的頭像 發(fā)表于 06-26 15:21 ?3592次閱讀
    如<b class='flag-5'>何在</b>Vivado中添加時(shí)序<b class='flag-5'>約束</b>呢?

    SMT32的HEX文件里加入固件版本的方法

    SMT32的HEX文件里加入固件版本的方法
    的頭像 發(fā)表于 09-18 15:34 ?603次閱讀
    SMT32的HEX<b class='flag-5'>文件</b><b class='flag-5'>里加入</b>固件版本的方法