0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Fusion Debug調(diào)試系統(tǒng)的各項(xiàng)技術(shù)、指標(biāo)介紹

海闊天空的專欄 ? 來源: 芯華章科技 ? 作者: 芯華章科技 ? 2022-05-23 17:06 ? 次閱讀

昭曉Fusion Debug?是一款基于創(chuàng)新架構(gòu)的全面調(diào)試系統(tǒng),建立在芯華章全新的、自主開發(fā)的調(diào)試數(shù)據(jù)庫(kù)之上,并由創(chuàng)新的設(shè)計(jì)推理引擎和高性能分析引擎提供動(dòng)力,可輕松進(jìn)行信號(hào)連接跟蹤和根本原因分析,極大地提升了工作效率。

下面我們將詳細(xì)介紹昭曉Fusion Debug?多種強(qiáng)大的技術(shù),包含提供快速源代碼解析、波形查看、設(shè)計(jì)原理圖探索和覆蓋率數(shù)據(jù)分析,并展示各項(xiàng)性能指標(biāo)。

1、完整的波形調(diào)試解決方案

圖片

Fusion Debug?基于通用波形數(shù)據(jù)庫(kù)XEDB的高性能讀取接口,可以快速加載大規(guī)模波形數(shù)據(jù)。在波形窗口中支持豐富的波形操作包括: 網(wǎng)格、標(biāo)記、測(cè)量、統(tǒng)計(jì)、顏色變化、分組、放大/縮小、進(jìn)制轉(zhuǎn)換、信號(hào)合并和分組等。同時(shí)也支持流行的鼠標(biāo)手勢(shì)操作,可以定制不同的功能。

2、完整的源代碼調(diào)試解決方案

圖片

Fusion Debug?基于源代碼查看器,能全面支持SystemVerilog、Verilog,并提供語法高亮、信號(hào)值標(biāo)注、代碼折疊、指示、拖放、選擇等一系列常用操作。同時(shí),支持快速導(dǎo)入設(shè)計(jì)、瀏覽電路設(shè)計(jì)層次(Design Hierarchy Tree),通過Hierarchy Tree能快速了解例化與調(diào)用的情況,并且支持對(duì)選中的信號(hào)做靜態(tài)和動(dòng)態(tài)追蹤,方便地找到信號(hào)的Driver/Load/Connectivity。

3、完整的電路圖調(diào)試解決方案

圖片

Fusion Debug?通過網(wǎng)表生成和分析技術(shù),可以將RTL設(shè)計(jì)用電路原理圖的形式展示。包括,Scope對(duì)應(yīng)的電路原理圖展示以及動(dòng)態(tài)展示信號(hào)的連接關(guān)系。通過原理圖,可以幫助用戶更快地理解設(shè)計(jì)、定位問題。

4、信號(hào)動(dòng)態(tài)追蹤和根本原因分析

圖片

Fusion Debug?提供了信號(hào)值變化根本原因分析技術(shù)(root cause analysis),支持選取同一個(gè)信號(hào)在不同時(shí)間點(diǎn)的變化做根本原因分析,并能正確定位Root Cause的位置。同時(shí)可以在電路圖里做Active Trace,將信號(hào)變化的傳播軌跡展示出來。

5、完整的覆蓋率調(diào)試解決方案

圖片

芯華章的Coverage數(shù)據(jù)具有統(tǒng)一的數(shù)據(jù)格式,不同的產(chǎn)品都可以通過Coverage DB的接口產(chǎn)生覆蓋率數(shù)據(jù)。通過界面中覆蓋率數(shù)據(jù)面板,可查看統(tǒng)計(jì)數(shù)據(jù)、摘要和詳細(xì)信息。同時(shí),Coverage視圖直接集成在Fusion Debug?產(chǎn)品內(nèi)部,能夠與Fusion Debug?其他視圖無縫連接,用戶查看Coverage的同時(shí)就能直接Debug。

6、性能指標(biāo)

/XEDB壓縮率/

通過3個(gè)不同的SoC進(jìn)行XEDB的壓縮率測(cè)試。測(cè)試過程中,通過使不同格式的輸入內(nèi)容是相同的(信號(hào)數(shù)量和數(shù)值變化數(shù)量相同),來對(duì)比輸出波形的大小,測(cè)試數(shù)據(jù)如下:

對(duì)比國(guó)際標(biāo)準(zhǔn)格式VCD,XEDB的壓縮率通??梢赃_(dá)到VCD的20到1000倍以上,在某些情景下,甚至可以超過2000倍

對(duì)比國(guó)際主流的數(shù)字波形格式,證明XEDB的壓縮率超1.5X-8X

/XEDB接口性能/

通過選取不同的SoC,分別對(duì)比了十萬、百萬、千萬級(jí)的信號(hào)數(shù)量,信號(hào)變化數(shù)量都超過10億,測(cè)試數(shù)據(jù)如下:

XEDB的大小是主流數(shù)字波形的70%左右

XEDB的寫入速度可以達(dá)到主流數(shù)字波形的1.5到3倍以上

/分布式XEDB性能/

本次測(cè)試Design有1千萬根信號(hào),信號(hào)變化的數(shù)量是100億,通過測(cè)試得到使用單機(jī)(主頻3G的高性能機(jī)器)模式運(yùn)行,寫完所有數(shù)據(jù)需要43mins。以及使用測(cè)試系統(tǒng)(一臺(tái)主機(jī)+8臺(tái)普通性能的機(jī)器組成的集群)運(yùn)行分布式測(cè)試數(shù)據(jù)如下:

相比于單機(jī)模式,波形寫入速度縮短超過5倍

主機(jī)的算力得到了分擔(dān),單機(jī)的運(yùn)行時(shí)間,和內(nèi)存占用都大大降低

來源:芯華章科技

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 調(diào)試系統(tǒng)

    關(guān)注

    0

    文章

    12

    瀏覽量

    6882
  • 芯華章
    +關(guān)注

    關(guān)注

    0

    文章

    170

    瀏覽量

    11414
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    GD32用Embedded軟件debug在線調(diào)試失敗的原因?

    請(qǐng)問GD32用Embedded軟件debug在線調(diào)試失敗可能是什么原因?
    發(fā)表于 09-27 07:13

    安泰功率放大器各項(xiàng)指標(biāo)參數(shù)介紹

    功率放大器 是電子設(shè)備中至關(guān)重要的一部分,它負(fù)責(zé)將信號(hào)的幅度增大,以便驅(qū)動(dòng)負(fù)載。了解功率放大器的各項(xiàng)指標(biāo)參數(shù)對(duì)于正確選擇和設(shè)計(jì)電路至關(guān)重要。下面安泰電子將介紹功率放大器的幾個(gè)重要指標(biāo)
    的頭像 發(fā)表于 08-29 10:42 ?166次閱讀
    安泰功率放大器<b class='flag-5'>各項(xiàng)</b><b class='flag-5'>指標(biāo)</b>參數(shù)<b class='flag-5'>介紹</b>

    DRAM的分類、特點(diǎn)及技術(shù)指標(biāo)

    DRAM(Dynamic Random Access Memory),即動(dòng)態(tài)隨機(jī)存取存儲(chǔ)器,是計(jì)算機(jī)系統(tǒng)中廣泛使用的內(nèi)存類型之一。它以其高速、大容量和相對(duì)低成本的特點(diǎn),在數(shù)據(jù)處理和存儲(chǔ)中發(fā)揮著關(guān)鍵作用。以下將詳細(xì)介紹DRAM的分類、特點(diǎn)以及
    的頭像 發(fā)表于 08-20 09:35 ?1610次閱讀

    為什么我的項(xiàng)目Debug運(yùn)行沒問題,編譯成Release包就報(bào)錯(cuò)?

    引言 在Android開發(fā)中,debug包和release包的行為差異可能導(dǎo)致release包在運(yùn)行時(shí)出現(xiàn)問題,而這些問題在debug包中不會(huì)出現(xiàn)。 本文主要介紹debug包和rele
    的頭像 發(fā)表于 06-12 11:18 ?575次閱讀
    為什么我的項(xiàng)目<b class='flag-5'>Debug</b>運(yùn)行沒問題,編譯成Release包就報(bào)錯(cuò)?

    網(wǎng)分射頻線纜的各項(xiàng)指標(biāo)解讀

    網(wǎng)分射頻線纜是一種用于連接射頻設(shè)備的高頻線纜,其性能指標(biāo)直接影響著射頻信號(hào)的傳輸質(zhì)量。下面是對(duì)網(wǎng)分射頻線纜常見的幾個(gè)性能指標(biāo)進(jìn)行解讀: 1. 阻抗:網(wǎng)分射頻線纜的阻抗通常為50歐姆或75歐姆,這是
    的頭像 發(fā)表于 05-21 09:55 ?2290次閱讀
    網(wǎng)分射頻線纜的<b class='flag-5'>各項(xiàng)</b><b class='flag-5'>指標(biāo)</b>解讀

    使用VSCode調(diào)試嵌入式程序:如何切換gdb server

    上文小編給大家介紹了一下如何使用VSCode結(jié)合cortex-debug插件進(jìn)行調(diào)試的方法。本篇就趁熱打鐵,進(jìn)一步深入了解一下如何切換gdb server。讓我們可以不僅僅使用JLinkGDBServer進(jìn)行
    的頭像 發(fā)表于 05-09 11:02 ?1607次閱讀
    使用VSCode<b class='flag-5'>調(diào)試</b>嵌入式程序:如何切換gdb server

    單片機(jī)開發(fā)環(huán)境中Release與Debug模式的對(duì)比分析

    Debug通常稱為調(diào)試版本。通過一系列編譯選項(xiàng)的配合,編譯的結(jié)果通常包含調(diào)試信息,而且不希望做優(yōu)化,便于程序員調(diào)試程序。
    發(fā)表于 04-30 11:22 ?951次閱讀
    單片機(jī)開發(fā)環(huán)境中Release與<b class='flag-5'>Debug</b>模式的對(duì)比分析

    程序使用IAR軟件在線調(diào)試debug時(shí)提示報(bào)錯(cuò),用stvp下載程序,仿真不了的原因?

    程序使用IAR軟件在線調(diào)試debug時(shí)提示“the debugging session could not be started,eiither the debugger
    發(fā)表于 04-26 06:41

    PLC系統(tǒng)的安裝與調(diào)試流程

    PLC控制系統(tǒng)的安裝與調(diào)試,涉及到各項(xiàng)工作,并且只能按序進(jìn)行,一環(huán)緊扣一環(huán),稍有不慎都將導(dǎo)致調(diào)試失敗,不但延誤工期,甚至?xí)p壞設(shè)備。本文介紹
    的頭像 發(fā)表于 03-27 17:08 ?622次閱讀
    PLC<b class='flag-5'>系統(tǒng)</b>的安裝與<b class='flag-5'>調(diào)試</b>流程

    介紹6種常見的反調(diào)試方法

    開發(fā)相應(yīng)的安全措施來保護(hù)系統(tǒng),這時(shí),惡意軟件開發(fā)人員就會(huì)使用反調(diào)試技術(shù)阻礙逆向人員的分析,以達(dá)到增加自己惡意代碼的存活時(shí)間。此外,安全人員也需要了解反調(diào)試
    的頭像 發(fā)表于 01-15 09:53 ?3289次閱讀
    <b class='flag-5'>介紹</b>6種常見的反<b class='flag-5'>調(diào)試</b>方法

    詳解Java DEBUG的基本原理

    Debug 的時(shí)候,都遇到過手速太快,直接跳過了自己想調(diào)試的方法、代碼的時(shí)候吧……
    的頭像 發(fā)表于 01-05 10:10 ?1239次閱讀
    詳解Java <b class='flag-5'>DEBUG</b>的基本原理

    技術(shù)分享 | 驗(yàn)證入門黃金組合:數(shù)字仿真器與調(diào)試系統(tǒng)

    數(shù)據(jù)和圖表、游戲和地圖,這兩款工具也幾乎是驗(yàn)證工程師們的入門級(jí)必修課。 本期的技術(shù)視頻,將基于芯華章的數(shù)字仿真器GalaxSim和調(diào)試系統(tǒng)Fusion
    的頭像 發(fā)表于 12-18 13:00 ?423次閱讀

    萬子長(zhǎng)文和你一起探索Arm調(diào)試架構(gòu)

    在此之前,由Arm架構(gòu)定義的debug feature已經(jīng)足夠應(yīng)付單核調(diào)試場(chǎng)景,屆時(shí)的debug interface是完全基于JTAG scan chain的做法。也就是說,ADI在Coresight沒有問世之前就已經(jīng)存在。
    的頭像 發(fā)表于 12-10 14:43 ?2030次閱讀
    萬子長(zhǎng)文和你一起探索Arm<b class='flag-5'>調(diào)試</b>架構(gòu)

    STM32WB55開發(fā)(4)----配置串口打印Debug調(diào)試信息

    在STM32WB55系列微控制器上進(jìn)行開發(fā)時(shí),實(shí)時(shí)監(jiān)控應(yīng)用程序的運(yùn)行情況和調(diào)試潛在問題是至關(guān)重要的。使用串口(USART/UART)進(jìn)行Debug信息打印是一種簡(jiǎn)便、高效的方法。下面是如何在STM32WB55上配置串口來打印調(diào)試
    的頭像 發(fā)表于 12-01 15:48 ?1103次閱讀
    STM32WB55開發(fā)(4)----配置串口打印<b class='flag-5'>Debug</b><b class='flag-5'>調(diào)試</b>信息

    在keil調(diào)試延時(shí),除了debug還有別的辦法嗎?

    在keil調(diào)試延時(shí),除了debug,還有別的辦法嗎,還有0.0000001s等于一微妙嗎?
    發(fā)表于 11-03 07:02