0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

IBM在2nm制程芯片上采用了GAA環(huán)繞式柵極技術(shù)

獨(dú)愛72H ? 來(lái)源:OFweek、Ai芯天下 ? 作者:OFweek、Ai芯天下 ? 2022-06-27 10:09 ? 次閱讀

IBM已正式發(fā)布了2nm制程工藝的芯片,這次IBM在2nm制程的芯片上用了一種叫GAA(Gate All Around)環(huán)繞式柵極的技術(shù)。

相比之下,該芯片對(duì)GAA工藝的應(yīng)用實(shí)踐,反而更具意義。

IBM這一創(chuàng)新或意味著先進(jìn)制程芯片的架構(gòu)從FinFET轉(zhuǎn)向GAA工藝的趨勢(shì)。這項(xiàng)技術(shù)最早是由三星先采用的,分為納米線和納米片結(jié)構(gòu),好處是能解決原先5nm工藝中遇到的漏電情況。

簡(jiǎn)單來(lái)說(shuō),這項(xiàng)技術(shù)讓晶體管之間的密度更高,空間優(yōu)化處理的更好,從而帶來(lái)更強(qiáng)的算力。與GAA相對(duì)應(yīng)的是FinFET(鰭式場(chǎng)效應(yīng)晶體管),F(xiàn)inFET是芯片從22nm逐步進(jìn)軍7nm、5nm的關(guān)鍵工藝。

本質(zhì)上,IBM的2nm并沒有突破物理極限,而是采用了新的GAA架構(gòu),雖然可以通過(guò)增大晶體管節(jié)點(diǎn)的密度,來(lái)提升芯片的性能,但是這種解決方案也不是萬(wàn)能的,缺點(diǎn)也很明顯。

如果找不到新的突破口的話,最壞的結(jié)果就是整個(gè)芯片行業(yè)可能會(huì)停滯不前。如果按照這個(gè)思路繼續(xù)往下推導(dǎo)的話,IBM的2nm可能沒有想象中的那么好,往往理論并不能代表實(shí)際表現(xiàn)。

本文整合自:OFweek、Ai芯天下

責(zé)任編輯:符乾江

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 芯片
    +關(guān)注

    關(guān)注

    452

    文章

    49938

    瀏覽量

    419620
  • IBM
    IBM
    +關(guān)注

    關(guān)注

    3

    文章

    1735

    瀏覽量

    74540
  • 2nm
    2nm
    +關(guān)注

    關(guān)注

    1

    文章

    200

    瀏覽量

    4476
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    消息稱三星電子再獲2nm訂單

    三星電子半導(dǎo)體代工領(lǐng)域再下一城,成功獲得美國(guó)知名半導(dǎo)體企業(yè)安霸的青睞,承接其2nm制程的ADAS(高級(jí)駕駛輔助系統(tǒng))芯片代工項(xiàng)目。
    的頭像 發(fā)表于 09-12 16:26 ?361次閱讀

    日本Rapidus計(jì)劃2025年啟動(dòng)2nm制程測(cè)試工廠

    近日,日本Rapidus公司CEO Atsuyoshi Koike透露,該公司的2nm制程測(cè)試工廠將于2025年4月正式啟動(dòng)。這一里程碑的進(jìn)展,標(biāo)志著日本半導(dǎo)體產(chǎn)業(yè)振興之路上又邁出
    的頭像 發(fā)表于 06-21 09:32 ?297次閱讀

    日本Rapidus攜手IBM深化合作,共同進(jìn)軍2nm芯片封裝技術(shù)

    全球半導(dǎo)體技術(shù)日新月異的今天,日本先進(jìn)代工廠Rapidus與IBM的強(qiáng)強(qiáng)聯(lián)合再次引發(fā)了業(yè)界的廣泛關(guān)注。6月12日,Rapidus宣布,他們與IBM
    的頭像 發(fā)表于 06-14 15:48 ?673次閱讀

    Rapidus與IBM深化合作,共推2nm制程后端技術(shù)

    日本先進(jìn)的半導(dǎo)體代工廠Rapidus本月初宣布,與IBM2nm制程領(lǐng)域的合作將進(jìn)一步深化,從前端技術(shù)拓展至后端封裝
    的頭像 發(fā)表于 06-14 11:23 ?500次閱讀

    AMD計(jì)劃采用三星3nm GAA制程量產(chǎn)下一代芯片

    近日于比利時(shí)微電子研究中心(imec)舉辦的2024年全球技術(shù)論壇(ITF World 2024),AMD首席執(zhí)行官蘇姿豐透露了公司的最新技術(shù)動(dòng)向。她表示,AMD將
    的頭像 發(fā)表于 05-31 09:53 ?537次閱讀

    今日看點(diǎn)丨傳臺(tái)積電2nm制程加速安裝設(shè)備;吉利汽車新一代雷神電混系統(tǒng)年內(nèi)發(fā)布

    1.傳臺(tái)積電2nm 制程加速安裝設(shè)備 預(yù)計(jì)2025 年量產(chǎn) ? 據(jù)半導(dǎo)體供應(yīng)鏈消息稱,臺(tái)積電2nm制程加速安裝設(shè)備,臺(tái)積電新竹寶山Fab20 P1廠將于4月進(jìn)行設(shè)備安裝工程,為
    發(fā)表于 03-25 11:03 ?838次閱讀

    蘋果2nm芯片曝光,性能提升10%-15%

    據(jù)媒體報(bào)道,目前蘋果已經(jīng)設(shè)計(jì)2nm芯片,芯片將會(huì)交由臺(tái)積電代工。
    的頭像 發(fā)表于 03-04 13:39 ?922次閱讀

    臺(tái)積電2nm制程進(jìn)展順利

    臺(tái)中科學(xué)園區(qū)已初步規(guī)劃A14和A10生產(chǎn)線,將視市場(chǎng)需求決定是否新增2nm制程工藝。
    的頭像 發(fā)表于 01-31 14:09 ?565次閱讀

    蘋果將成為首個(gè)采用其最新2nm工藝的客戶

    2nm工藝是臺(tái)積電采用的革新性GAA(Gate-All-Around)技術(shù)相同功耗下相比當(dāng)前最先進(jìn)的N3E工藝,速度提升10%至15%,
    的頭像 發(fā)表于 01-26 15:51 ?532次閱讀

    臺(tái)積電2nm制程技術(shù)上展開防守策略

    臺(tái)積電的2nm技術(shù)是3nm技術(shù)的延續(xù)。一直以來(lái),臺(tái)積電堅(jiān)定地遵循著每一步一個(gè)工藝節(jié)點(diǎn)的演進(jìn)策略,穩(wěn)扎穩(wěn)打,不斷突破。
    發(fā)表于 01-25 14:14 ?377次閱讀

    蘋果欲優(yōu)先獲取臺(tái)積電2nm產(chǎn)能,預(yù)計(jì)2024年安裝設(shè)備生產(chǎn)

    有消息人士稱,蘋果期望能夠提前獲得臺(tái)積電1.4nm(A14)以及1nm(A10)兩種更為先進(jìn)的工藝的首次產(chǎn)能供應(yīng)。據(jù)了解,臺(tái)積電2nm技術(shù)開發(fā)進(jìn)展順利,預(yù)期
    的頭像 發(fā)表于 01-25 14:10 ?444次閱讀

    今日看點(diǎn)丨理想 L6 車型定于今年 4 月上市:30 萬(wàn)元以下;獨(dú)供中國(guó)大陸,英特爾酷睿 i7-14790F、i5-14490F 處理器

    1. 傳臺(tái)積電將如期量產(chǎn)2nm GAA 技術(shù),最早4 月安裝設(shè)備 ? 近日,臺(tái)積電中國(guó)臺(tái)灣的供應(yīng)鏈合作伙伴表示,臺(tái)積電將如期采用
    發(fā)表于 01-16 11:19 ?902次閱讀
    今日看點(diǎn)丨理想 L6 車型定于今年 4 月上市:30 萬(wàn)元以下;獨(dú)供中國(guó)大陸,英特爾酷睿 i7-14790F、i5-14490F 處理器

    臺(tái)積電2nm制程穩(wěn)步推進(jìn),2025年將實(shí)現(xiàn)量產(chǎn)

    得益于2nm制程項(xiàng)目的順利推進(jìn),寶山、高雄新晶圓廠的建造工程正有序進(jìn)行。臺(tái)中科學(xué)園區(qū)已初步確定了A14與A10生產(chǎn)線的布局,具體是否增設(shè)2nm制程工藝將根據(jù)市場(chǎng)需求再定。
    的頭像 發(fā)表于 01-16 09:40 ?532次閱讀

    三大芯片巨頭角逐2nm技術(shù)

    過(guò)去數(shù)十年里,芯片設(shè)計(jì)團(tuán)隊(duì)始終專注于小型化。減小晶體管體積,能降低功耗并提升處理性能。如今,2nm及3nm已取代實(shí)際物理尺寸,成為描述新一代芯片的關(guān)鍵指標(biāo)。
    的頭像 發(fā)表于 12-12 09:57 ?841次閱讀

    2nm意味著什么?2nm何時(shí)到來(lái)?它與3nm有何不同?

    3nm工藝剛量產(chǎn),業(yè)界就已經(jīng)討論2nm了,并且調(diào)整相關(guān)的時(shí)間表。2nm工藝不僅對(duì)晶圓廠來(lái)說(shuō)是一個(gè)重大挑戰(zhàn),同樣也考驗(yàn)著EDA公司,以及在
    的頭像 發(fā)表于 12-06 09:09 ?2238次閱讀