0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

介紹放寬約束的等價性比對sequential equivalence

電子工程師 ? 來源:芯片驗證工程師 ? 作者:驗證哥布林 ? 2022-07-19 09:53 ? 次閱讀

在上一篇文章《等價性比對驗證之combinational?equivalence》中,我們說過Combinational equivalence比對最嚴格,但是在很多場景下有限制(不適應于時序單元變化的場景)。

本章我們在時序單元數(shù)量或者位置發(fā)生變化,但是整體功能不變的場景下對于Combinational equivalence進行一定程度的放松。

SEQUENTIAL EQUIVALENCE

Sequential equivalence被某些EDA工具稱之為周期精確等價(cycle-accurate equivalence),名字不重要,關鍵的是理解它和combinational?equivalence的區(qū)別。

Sequential equivalence是使用EDA工具形式化地確認是否SPEC模型和IMP模型能否在相同的激勵下產生相同的輸出(這是最基本的要求)。另外不同于combinational?equivalence,它不要求電路中每個時序單元都能夠精確地比對,最終只要輸出的時序一致即可。

如此,就可能在綜合工具進行一些特殊優(yōu)化使得時序單元數(shù)量、位置和流水線深度發(fā)生變化時依然能夠比對通過。

其實伴隨著對于combinational?equivalence的要求的放松,

sequential?equivalence以及后面即將介紹的transaction-based equivalence.
越來越貼近FPV。



審核編輯:劉清

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • EDA工具
    +關注

    關注

    4

    文章

    264

    瀏覽量

    31634
  • SPEC
    +關注

    關注

    0

    文章

    31

    瀏覽量

    15760
  • IMP
    IMP
    +關注

    關注

    0

    文章

    11

    瀏覽量

    8376

原文標題:等價性比對驗證之sequential?equivalence

文章出處:【微信號:芯片驗證工程師,微信公眾號:芯片驗證工程師】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    一文解析最嚴格的等價比對驗證combinational equivalence

    Combinational equivalence是使用EDA工具進行等價比對中最成熟的FEV技術,一般情況下是將RTL和原理圖網表進行等價
    的頭像 發(fā)表于 07-19 09:48 ?1538次閱讀

    FPGA時序約束之衍生時鐘約束和時鐘分組約束

    在FPGA設計中,時序約束對于電路性能和可靠非常重要。在上一篇的文章中,已經詳細介紹了FPGA時序約束的主時鐘約束。
    發(fā)表于 06-12 17:29 ?2448次閱讀

    allegro布局完成后修改線寬約束后如何更新到PCB中

    `圖片中高亮部分是GND網絡,在首次布線之后,又對GND網絡線寬約束進行了修改,修改后不知道在哪里更新,圖中較寬的線是刪除后重新布線的,有沒有方法不刪除布線直接更新的?請大神指導,謝謝!`
    發(fā)表于 11-13 16:12

    寬約束規(guī)則失效?

    我將DDR的數(shù)據地址線設置為4mil線寬,已經畫了一部分了。今天臨時修改了下約束管理器的設置,結果開始報錯了:從第二幅圖看出,我明明設置的是4mil。但是第一幅圖顯示,系統(tǒng)認為我任然用的是默認線寬設置。這是怎么回事呢
    發(fā)表于 04-20 17:29

    分享一個FEC RTLvs Netlist等價比對的示例

    中,只要你使用邏輯綜合將RTL轉換為門級網表,那么你必然需要使用FEC工具進行RTL和門級網表等價比對。下圖是一個FEC RTLvs Netlist等價
    發(fā)表于 07-22 14:56

    時序邏輯設計原則 (Sequential Logic Des

    時序邏輯設計原則 (Sequential Logic Design principles):A sequential logic circuit is one whose outputs
    發(fā)表于 09-26 12:54 ?33次下載

    時序邏輯設計實踐 (Sequential Logic Des

    時序邏輯設計實踐 (Sequential Logic Design Practices)The purpose of this chapter is to familiarize you
    發(fā)表于 09-26 12:57 ?13次下載

    帶黑盒組合電路的等價驗證

    為了在早期階段發(fā)現(xiàn)電路設計錯誤,需要對包含未知部分的實現(xiàn)電路和規(guī)范電路進行等價驗證。本文提出了一種“分而治之”的方法,把電路劃分成若干子電路,使用四值邏輯模
    發(fā)表于 07-30 17:39 ?17次下載

    嵌入式操作系統(tǒng)實時比對與分析

    嵌入式操作系統(tǒng)實時比對與分析 以影響嵌入式操作系統(tǒng)實時的一系列相關指標為研究對象,以比對實驗平臺為基礎,提出一種全
    發(fā)表于 03-29 15:14 ?1823次閱讀
    嵌入式操作系統(tǒng)實時<b class='flag-5'>性</b><b class='flag-5'>比對</b>與分析

    動態(tài)矩陣/Field Sequential 是什么意思

    動態(tài)矩陣/Field Sequential 是什么意思     所謂Field Sequential技術就是透過對紅、綠、藍的影像,進行高速切換來實現(xiàn)彩色顯示
    發(fā)表于 03-27 11:52 ?793次閱讀

    什么是軟件與硬件的邏輯等價

    什么是軟件與硬件的邏輯等價     隨著大規(guī)模集成電路技術的發(fā)展和軟件硬化的趨勢,計算機系統(tǒng)軟、硬件界限已經變得模糊了。因為任何操作
    發(fā)表于 04-13 13:44 ?5476次閱讀

    支持Baseline和Extended Sequential

    支持Baseline和Extended Sequential的JPEG編碼IP核 CAST公司宣布提供獨有的同時支持Baseline(8位)和Extended Sequential(12位)
    發(fā)表于 05-18 09:22 ?707次閱讀

    FPGA約束的詳細介紹

    介紹FPGA約束原理,理解約束的目的為設計服務,是為了保證設計滿足時序要求,指導FPGA工具進行綜合和實現(xiàn),約束是Vivado等工具努力實現(xiàn)的目標。所以首先要設計合理,才可能滿足
    發(fā)表于 06-25 09:14 ?6648次閱讀

    介紹3個時序優(yōu)化的RTL改動及其中Formal SEC的角色

    對于這種pipe個數(shù)變化,但是端到端功能不變的修改,同樣可以使用sequential FEC來進行等價比對。只不過有所區(qū)別的是,需要指定比對
    的頭像 發(fā)表于 08-09 15:44 ?1901次閱讀
    <b class='flag-5'>介紹</b>3個時序優(yōu)化的RTL改動及其中Formal SEC的角色

    RTL與網表的一致檢查

    在芯片設計的中間和最后階段,比如綜合、DFT、APR、ECO等階段,常常要檢查設計的一致。也叫邏輯等價檢查(Logic Equivalence Check),簡稱LEC。
    的頭像 發(fā)表于 11-07 12:51 ?3467次閱讀