0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

RTL表達(dá)式和運(yùn)算符

OpenFPGA ? 來(lái)源:OpenFPGA ? 作者:OpenFPGA ? 2022-07-21 09:11 ? 次閱讀

數(shù)字硬件建模SystemVerilog-運(yùn)算符/表達(dá)式規(guī)則

經(jīng)過(guò)幾周的更新,SV核心部分用戶自定義類型和包內(nèi)容已更新完畢,接下來(lái)就是RTL表達(dá)式和運(yùn)算符。

馬上HDLBits-SystemVerilog版本也開(kāi)始準(zhǔn)備了,基本這一部分完成后就開(kāi)始更新~

18d043e0-088d-11ed-ba43-dac502259ad0.png

介紹

運(yùn)算符對(duì)操作數(shù)執(zhí)行操作。大多數(shù)運(yùn)算符都有兩個(gè)操作數(shù)。例如,在運(yùn)算a+b中,+(加法)運(yùn)算的操作數(shù)是a和b。每個(gè)操作數(shù)都被稱為表達(dá)式,表達(dá)式可以是文字值、變量、網(wǎng)絡(luò);函數(shù)調(diào)用的返回,或另一個(gè)操作的結(jié)果。表達(dá)式有許多影響操作執(zhí)行方式的特征。這些特征在后面章節(jié)中討論。

4-state(4態(tài))和2-state(2態(tài))操作

表達(dá)式可以是2態(tài)或4態(tài)。2態(tài)表達(dá)式的每個(gè)位只能有0或1的值。2態(tài)表達(dá)式不能具有高阻抗值(由字母Z表示)、未知值(由字母X表示)或不關(guān)心值(也由X表示)。4態(tài)表達(dá)式可以在表達(dá)式的任何位中包含0、l、Z或X的值。

對(duì)2態(tài)和4態(tài)表達(dá)式進(jìn)行運(yùn)算的規(guī)則很簡(jiǎn)單——當(dāng)任何操作數(shù)都是4態(tài)表達(dá)式時(shí),運(yùn)算的結(jié)果將是4態(tài)表達(dá)式。所有操作數(shù)都必須是2態(tài)表達(dá)式才能得到2態(tài)結(jié)果。如果結(jié)果被用作另一個(gè)操作的操作數(shù),或在編程語(yǔ)句(如if-else)中求值,則此規(guī)則可能會(huì)影響其他操作。

該章中建議的編碼準(zhǔn)則是,RTL建模只使用4態(tài)類型。一個(gè)主要原因是,運(yùn)算結(jié)果中任何一位的X都可以很好地表明其中一個(gè)操作數(shù)有問(wèn)題。當(dāng)使用2態(tài)類型時(shí),設(shè)計(jì)問(wèn)題可能會(huì)被隱藏,因?yàn)椴僮鹘Y(jié)果中沒(méi)有X值指示潛在的錯(cuò)誤(之前的文章有詳細(xì)討論這部分)。

X-OPTIMISM和X-PESSIMISM

大多數(shù)SystemVerilog運(yùn)算符都是X-optimism,這意味著即使操作數(shù)中有X或Z值,該操作也可能產(chǎn)生已知結(jié)果。一些SystemVerilog運(yùn)算符,如算術(shù)運(yùn)算符和關(guān)系運(yùn)算符,是X-pessimism,這意味著,如果任何操作數(shù)的任何位具有X或Z值,則結(jié)果的所有位將自動(dòng)為X。

X-OPTIMISM。即使一個(gè)或兩個(gè)操作數(shù)的位具有X或Z值,X-optimism也可以產(chǎn)生有效的結(jié)果。考慮下面的示例和所示的邏輯值:

18e7c466-088d-11ed-ba43-dac502259ad0.png

該運(yùn)算的結(jié)果是值4’b0000。這是因?yàn)?運(yùn)算符為其操作數(shù)的每一位建模一個(gè)數(shù)字and邏輯門。在數(shù)字邏輯中,0與任何值的AND運(yùn)算將產(chǎn)生0,操作數(shù)a中的高阻抗位(由Z表示)和未知位(由X表示)在result中變?yōu)?,因?yàn)檫@些位與b中對(duì)應(yīng)的位進(jìn)行AND運(yùn)算,b的值為0。這種行為被稱為X-OPTIMISM。仿真將有一個(gè)已知的結(jié)果,即使操作數(shù)的位具有X或Z值。

X-OPTIMISM僅適用于仿真可以準(zhǔn)確預(yù)測(cè)實(shí)際邏輯門行為的值。在下面的示例中,b操作數(shù)是全1而不是全0。

1907d094-088d-11ed-ba43-dac502259ad0.png

運(yùn)算的結(jié)果是值4’b01xx。對(duì)于這些操作數(shù)值,X-optimism不適用于最右邊的兩個(gè)位。在實(shí)際邏輯門中,高阻抗AND (與)X,可能會(huì)產(chǎn)生0或1,其值取決于一系列條件,例如用于構(gòu)建與門的晶體管類型、晶體管電路的阻抗和電容、工作電壓,甚至環(huán)境溫度。抽象RTL和運(yùn)算符沒(méi)有此詳細(xì)信息。如果沒(méi)有這些細(xì)節(jié),數(shù)字仿真無(wú)法預(yù)測(cè)0或1是否會(huì)由1與Z相加產(chǎn)生。類似地,X代表未知值,這意味著實(shí)際邏輯門值可能是0、1或Z,如果存在這種模糊性,數(shù)字仿真無(wú)法預(yù)測(cè)0或1是否會(huì)由1與X相加產(chǎn)生。

**X-pessimistic。少數(shù)SystemVerilog運(yùn)算符更"悲觀"**。如果任何操作數(shù)的任何位具有X或Z值,則該操作會(huì)自動(dòng)返回一個(gè)值,其中所有位都為X。X-pessimistic如算術(shù)運(yùn)算符(如加法運(yùn)算符)和關(guān)系運(yùn)算符(如小于運(yùn)算符)。

1922694a-088d-11ed-ba43-dac502259ad0.png

運(yùn)算的結(jié)果是4’bxxxx。出現(xiàn)這種X是因?yàn)樗阈g(shù)加法運(yùn)算符執(zhí)行基于數(shù)字的加法,而不是按位加法。操作數(shù)a的值為4’b000x,這不是一個(gè)數(shù)字,因此運(yùn)算結(jié)果是一個(gè)未知值。

后面會(huì)更詳細(xì)地研究SystemVerilog RTL運(yùn)算符,以及每個(gè)運(yùn)算符是X-optimistic or X-pessimistic。為了編寫能夠正確表示的RTL模型,理解這些影響硬件行為至關(guān)重要。

運(yùn)算向量大小和自動(dòng)向量擴(kuò)展

運(yùn)算符的每個(gè)操作數(shù)可以是任意大小的向量;包括標(biāo)量(1位)。操作數(shù)的向量大小可能會(huì)影響操作的執(zhí)行方式。一個(gè)重要的考慮因素是當(dāng)運(yùn)算符的操作數(shù)是不同的向量大小時(shí)。

自定義操作數(shù)Self-determined operands。有些運(yùn)算符獨(dú)立處理每個(gè)操作數(shù)。操作數(shù)是否為不同的向量大小并不重要。這些運(yùn)算符的操作數(shù)稱為自定數(shù)self-determined。在下面的示例中,運(yùn)算符執(zhí)行邏輯“&&”運(yùn)算,測(cè)試兩個(gè)操作數(shù)是否都為真。如果是,則該操作返回的結(jié)果為true,否則,該操作返回的結(jié)果為false:

193eb276-088d-11ed-ba43-dac502259ad0.png

操作數(shù)a和b是自定數(shù)。每個(gè)操作數(shù)的計(jì)算結(jié)果可以是真或假,與另一個(gè)操作數(shù)的向量大小無(wú)關(guān)。

上下文確定的操作數(shù)。許多運(yùn)算符需要將操作數(shù)展開(kāi)為相同的向量大小,然后才能執(zhí)行操作。這些運(yùn)算符的操作數(shù)被稱為上下文確定的操作數(shù)(context-determined)。該操作將使最短的操作數(shù)向左擴(kuò)展,使其與最大的操作數(shù)的向量大小相同。在下面的示例中,&運(yùn)算符執(zhí)行按位AND運(yùn)算,將每個(gè)操作數(shù)的每一位相加,并返回布爾結(jié)果。

195c1e92-088d-11ed-ba43-dac502259ad0.png

為了將a的每一位與b的每一位進(jìn)行AND,該操作將兩個(gè)操作數(shù)調(diào)整為相同的向量寬度。該操作將檢查操作的上下文以確定最大的操作數(shù),然后左擴(kuò)展較短的操作數(shù)以匹配最大操作數(shù)的大小。擴(kuò)展規(guī)則如下:

如果最左邊的位為0或l,且操作數(shù)為無(wú)符號(hào)類型,則操作數(shù)為零擴(kuò)展(每個(gè)附加位的值為0)。

如果最左邊的位是0或l,并且操作數(shù)是有符號(hào)類型,則操作數(shù)是符號(hào)擴(kuò)展的(每個(gè)額外的位被賦予最左邊位的值或原始值。最左邊的位被稱為符號(hào)位)。

如果最左邊的位是Z,則操作數(shù)是Z擴(kuò)展的(每個(gè)額外的位都有Z的值)。

如果最左邊的位是X,則操作數(shù)是X擴(kuò)展的(每個(gè)附加位的值為X)。

算術(shù)運(yùn)算的上下文推斷大小比其他運(yùn)算符的上下文推斷大小更復(fù)雜,上下文推斷大小不僅考慮運(yùn)算符的操作數(shù),還考慮賦值語(yǔ)句右側(cè)和左側(cè)所有表達(dá)式的向量大小,如以下代碼所示:

19767648-088d-11ed-ba43-dac502259ad0.png

有符號(hào)和無(wú)符號(hào)表達(dá)式

算術(shù)、比較和移位運(yùn)算符可以執(zhí)行有符號(hào)或無(wú)符號(hào)運(yùn)算。規(guī)則很簡(jiǎn)單——如果執(zhí)行運(yùn)算的所有操作數(shù)都是有符號(hào)的,則執(zhí)行有符號(hào)運(yùn)算。如果任何受影響的操作數(shù)是無(wú)符號(hào)的,則執(zhí)行無(wú)符號(hào)操作——以下代碼段說(shuō)明了這些規(guī)則。注釋中注明了操作類型。

1998130c-088d-11ed-ba43-dac502259ad0.png

運(yùn)算符的符號(hào)性完全由操作運(yùn)算符的操作數(shù)決定。它不受賦值語(yǔ)句左側(cè)的符號(hào)性影響。

整數(shù)(向量)和實(shí)數(shù)(浮點(diǎn))表達(dá)式

所有SystemVerilog運(yùn)算符都可以對(duì)整數(shù)值執(zhí)行操作。IEEE SystemVerilog標(biāo)準(zhǔn)將整數(shù)值稱為整數(shù)表達(dá)式——由一個(gè)或多個(gè)連續(xù)位組成的值。工程師通常將這些整數(shù)或整數(shù)值稱為向量。

SystemVerilog將定點(diǎn)和浮點(diǎn)表達(dá)式稱為實(shí)數(shù)表達(dá)式。大多數(shù)類型的運(yùn)算都可以在實(shí)數(shù)表達(dá)式上執(zhí)行,包括:賦值運(yùn)算、算術(shù)運(yùn)算、邏輯(真/假)運(yùn)算、比較運(yùn)算和遞增/遞減運(yùn)算。有一些操作無(wú)法在實(shí)數(shù)表達(dá)式上執(zhí)行。這些操作主要包括向量的位處理,例如位和部分選擇操作、按位操作、移位操作、連接操作和流操作。

可以對(duì)整數(shù)和實(shí)數(shù)表達(dá)式的混合執(zhí)行操作。混合類型運(yùn)算的規(guī)則是,如果任何操作數(shù)是實(shí)數(shù)表達(dá)式,則另一個(gè)操作數(shù)將轉(zhuǎn)換為實(shí)數(shù)表達(dá)式,并執(zhí)行浮點(diǎn)運(yùn)算。

筆記
RTL綜合編譯器通常不支持實(shí)數(shù)(浮點(diǎn))表達(dá)式。高級(jí)綜合(HLS)工具可用于復(fù)雜的算法設(shè)計(jì)。浮點(diǎn)和定點(diǎn)設(shè)計(jì)不在本系列文章的范圍之內(nèi)。

19b8ed52-088d-11ed-ba43-dac502259ad0.jpg

SystemVerilog-使用結(jié)構(gòu)體和聯(lián)合體的例子

原文標(biāo)題:SystemVerilog-運(yùn)算符/表達(dá)式規(guī)則

文章出處:【微信公眾號(hào):OpenFPGA】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

審核編輯:彭靜

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 硬件
    +關(guān)注

    關(guān)注

    11

    文章

    3161

    瀏覽量

    66003
  • 編碼
    +關(guān)注

    關(guān)注

    6

    文章

    920

    瀏覽量

    54707
  • RTL
    RTL
    +關(guān)注

    關(guān)注

    1

    文章

    384

    瀏覽量

    59622
  • 運(yùn)算符
    +關(guān)注

    關(guān)注

    0

    文章

    167

    瀏覽量

    11036

原文標(biāo)題:SystemVerilog-運(yùn)算符/表達(dá)式規(guī)則

文章出處:【微信號(hào):Open_FPGA,微信公眾號(hào):OpenFPGA】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    數(shù)字硬件建模SystemVerilog-按位運(yùn)算符

    經(jīng)過(guò)幾周的更新,SV核心部分用戶自定義類型和包內(nèi)容已更新完畢,接下來(lái)就是RTL表達(dá)式運(yùn)算符
    的頭像 發(fā)表于 08-12 14:27 ?2383次閱讀

    關(guān)于數(shù)字硬件建模SystemVerilog

    經(jīng)過(guò)幾周的更新,SV核心部分用戶自定義類型和包內(nèi)容已更新完畢,接下來(lái)就是RTL表達(dá)式運(yùn)算符
    的頭像 發(fā)表于 09-01 08:50 ?1346次閱讀

    C語(yǔ)言程序設(shè)計(jì)--運(yùn)算符表達(dá)式

    c語(yǔ)言對(duì)數(shù)據(jù)有很強(qiáng)的表達(dá)能力,具有十分豐富的運(yùn)算符,利用這些運(yùn)算符可以組成各種表達(dá)式及語(yǔ)句。運(yùn)算符就是完成某種特定
    發(fā)表于 07-14 21:30 ?46次下載

    單片機(jī)C語(yǔ)言教程-運(yùn)算符表達(dá)式

    單片機(jī)C語(yǔ)言教程-運(yùn)算符表達(dá)式   單片機(jī)C語(yǔ)言教程-運(yùn)算符表達(dá)式  運(yùn)算符的種類、優(yōu)先級(jí)和結(jié)合性  c語(yǔ)言中運(yùn)
    發(fā)表于 03-27 17:13 ?2192次閱讀

    基于運(yùn)算符信息的數(shù)學(xué)表達(dá)式檢索技術(shù)

    傳統(tǒng)的文本檢索技術(shù)主要面向一維文本,難以用于對(duì)二維結(jié)構(gòu)數(shù)學(xué)表達(dá)式的檢索。針對(duì)該問(wèn)題,通過(guò)引入公式描述結(jié)構(gòu),實(shí)現(xiàn)基于運(yùn)算符信息的數(shù)學(xué)表達(dá)式檢索。利用公式描述結(jié)構(gòu)提取算法獲取 Latex數(shù)學(xué)表達(dá)式
    發(fā)表于 04-29 15:58 ?2次下載
    基于<b class='flag-5'>運(yùn)算符</b>信息的數(shù)學(xué)<b class='flag-5'>表達(dá)式</b>檢索技術(shù)

    RTL表達(dá)式運(yùn)算符

    經(jīng)過(guò)幾周的更新,SV核心部分用戶自定義類型和包內(nèi)容已更新完畢,接下來(lái)就是RTL表達(dá)式運(yùn)算符。
    的頭像 發(fā)表于 07-27 09:11 ?1630次閱讀

    SystemVerilog-運(yùn)算符/表達(dá)式規(guī)則

    RTL建模中廣泛使用的運(yùn)算符是條件運(yùn)算符,也稱為三元運(yùn)算符,該運(yùn)算符用于在兩個(gè)表達(dá)式之間進(jìn)行選擇
    的頭像 發(fā)表于 08-03 09:03 ?2920次閱讀

    關(guān)于RTL表達(dá)式運(yùn)算符

    經(jīng)過(guò)幾周的更新,SV核心部分用戶自定義類型和包內(nèi)容已更新完畢,接下來(lái)就是RTL表達(dá)式運(yùn)算符
    的頭像 發(fā)表于 09-01 09:13 ?1776次閱讀

    RTL表達(dá)式運(yùn)算符

    經(jīng)過(guò)幾周的更新,SV核心部分用戶自定義類型和包內(nèi)容已更新完畢,接下來(lái)就是RTL表達(dá)式運(yùn)算符
    的頭像 發(fā)表于 10-11 10:15 ?1553次閱讀

    RTL表達(dá)式運(yùn)算符

    決策語(yǔ)句(Decision statements)允許程序塊的執(zhí)行流程根據(jù)設(shè)計(jì)中信號(hào)的當(dāng)前值分支到特定語(yǔ)句。SystemVerilog有兩個(gè)主要的決策語(yǔ)句:if…else語(yǔ)句和case語(yǔ)句,使用關(guān)鍵字case、case…inside,casex和casez。
    的頭像 發(fā)表于 10-21 09:04 ?1238次閱讀

    RTL表達(dá)式運(yùn)算符

    經(jīng)過(guò)幾周的更新,SV核心部分用戶自定義類型和包內(nèi)容已更新完畢,接下來(lái)就是RTL表達(dá)式運(yùn)算符。
    的頭像 發(fā)表于 11-03 09:14 ?1205次閱讀

    運(yùn)算符/表達(dá)式規(guī)則

    運(yùn)算符對(duì)操作數(shù)執(zhí)行操作。大多數(shù)運(yùn)算符都有兩個(gè)操作數(shù)。例如,在運(yùn)算a+b中,+(加法)運(yùn)算的操作數(shù)是a和b。每個(gè)操作數(shù)都被稱為表達(dá)式
    的頭像 發(fā)表于 02-09 15:37 ?900次閱讀
    <b class='flag-5'>運(yùn)算符</b>/<b class='flag-5'>表達(dá)式</b>規(guī)則

    邏輯運(yùn)算符表達(dá)式

    在C語(yǔ)言中,我們通常會(huì)進(jìn)行真值與假值的判斷,這時(shí)我們就需要用到邏輯運(yùn)算符與邏輯表達(dá)式。如果表達(dá)式的值不為0,則通通返回為真值。只有當(dāng)表達(dá)式的值為0時(shí),才會(huì)返回假值。
    的頭像 發(fā)表于 02-21 15:16 ?1927次閱讀
    邏輯<b class='flag-5'>運(yùn)算符</b>與<b class='flag-5'>表達(dá)式</b>

    位邏輯運(yùn)算符表達(dá)式

    位邏輯運(yùn)算符與位邏輯表達(dá)式可以實(shí)現(xiàn)位的編輯,比如位的清零、設(shè)置、取反和取補(bǔ)等操作。使用位邏輯運(yùn)算符與位邏輯表達(dá)式可以在不使用匯編的情況下實(shí)現(xiàn)部分匯編的功能
    的頭像 發(fā)表于 02-21 15:22 ?1176次閱讀
    位邏輯<b class='flag-5'>運(yùn)算符</b>與<b class='flag-5'>表達(dá)式</b>

    C語(yǔ)言基本的算術(shù)運(yùn)算符表達(dá)式

    注意:自增和自減運(yùn)算符只能用于變量,而不能用于常量或表達(dá)式 **C語(yǔ)言算術(shù)表達(dá)式運(yùn)算符的優(yōu)先級(jí)與結(jié)合性 ** 在表達(dá)式求值時(shí),
    的頭像 發(fā)表于 03-09 10:44 ?1496次閱讀