0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Zynq UltraScale+ MPSoC以太網(wǎng)接口調(diào)試

golabs ? 來源:golabs ? 作者:golabs ? 2022-08-02 08:03 ? 次閱讀

Zynq&ZU+ Mpsoc的以太網(wǎng)使用普遍,從功能大致分為2類應(yīng)用:調(diào)試管理、數(shù)據(jù)傳輸。本文主要集中在PS端的Ethernet RGMII外接phy設(shè)計(jì)和調(diào)試,該部分客戶用的最多也最容易出問題,希望通過本文對基于RGMII+phy的典型應(yīng)用快速入門,解決問題。

1. 以太網(wǎng)接口介紹

以太網(wǎng)接口包含管理、數(shù)據(jù)通信接口,管理接口也就是我們常說的MDC/MDIO,用來訪問phy寄存器,配置工作模式、檢測工作狀態(tài)。數(shù)據(jù)接口:常見的有MII, GMII, RGMII,SGMII, QSGMII等,我們本次專注在RGMII。

TX/RX data between MAC & PHY

2. MDC/MDIO接口

幀格式: CL22(GE), CL45(XE);

Phy地址: 訪問外部哪顆phy;

MDC clock頻率: 不超過2.5 MHz (defined by the IEEE802.3);

硬件設(shè)計(jì)Tips:MDIO為OD門,需1.5K電阻上拉。

3. RGMII接口

硬件設(shè)計(jì)Tips:

RGMII規(guī)范中約定data和clock之間需要有2ns延遲,實(shí)現(xiàn)方式:

A. PCB板上繞線實(shí)現(xiàn) (不推薦);

B. PCB(data/clock等長處理)+PHY芯片上分別設(shè)置TX/RX delay(現(xiàn)在phy基本上均支持,使用前請查看phy手冊確認(rèn));

RGMII規(guī)范約定:

帶有internal delay的phy/mac:

4. 軟件開發(fā)Tips

Linux如何配置phy地址&phy delay,以ZCU102為例,GEM3 phy address=0xC,采用phy internal tx/rx delay,在設(shè)備樹system-usr.dsti中添加phy地址和delay(有的phy硬件配置好,無需額外添加)。

5. 調(diào)試Tips

在uboot下通過mii命令查看phy的link/AN/Speed狀態(tài),或者配置loopback測試。

常用命令有mii info、mii dump、miiwrite等等。

通過mii info可以查看MDC/MDIO總線下掛載了哪些phy address。

ZynqMP》 mii info

PHY0x07: OUI = 0x5043, Model = 0x21, Rev = 0x00, 1000baseX, FDX

PHY0x0C: OUI = 0x5043, Model = 0x21, Rev = 0x00, 1000baseX, FDX

ZynqMP》

ZynqMP》mii device

MIIdevices: ‘eth0’

Currentdevice: ‘eth0’

通過mii dump可以查看phy的狀態(tài),可讀性好。

ZynqMP》 mii dump 7 0

0. (1140) -- PHY control register --

(8000:0000) 0.15 = 0 reset

(4000:0000) 0.14 = 0 loopback

(2040:0040) 0. 6,13 = b10 speed selection = 1000 Mbps

(1000:1000) 0.12 = 1 A/N enable

(0800:0000) 0.11 = 0 power-down

(0400:0000) 0.10 = 0 isolate

(0200:0000) 0. 9 = 0 restart A/N

(0100:0100) 0. 8 = 1 duplex = full

(0080:0000) 0. 7 = 0 collision test enable

(003f:0000) 0. 5- 0 = 0 (reserved)

6. 常見問題及解決方法

Q1:PHY無法訪問?

A1:查看原理圖MDIO是否有上拉、phy address是否正確、降低MDC clock測試。

Q2:能link但是ping不通?

A2:PC防火墻是否關(guān)閉,包含windows自帶的防火墻?設(shè)備ip和pcip地址是否配置正確?如果以上均已操作正確,重點(diǎn)檢查RGMII PHY的TX/RX delay配置。

審核編輯:郭婷

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 以太網(wǎng)
    +關(guān)注

    關(guān)注

    40

    文章

    5319

    瀏覽量

    170478
  • 寄存器
    +關(guān)注

    關(guān)注

    31

    文章

    5270

    瀏覽量

    119646
收藏 人收藏

    評論

    相關(guān)推薦

    如何調(diào)試Zynq UltraScale+ MPSoC VCU DDR控制器

      如何調(diào)試Zynq UltraScale+ MPSoC VCU DDR控制器  Zynq UltraS
    發(fā)表于 01-07 16:02

    如何調(diào)試Zynq UltraScale+ MPSoC VCU DDR控制器

    如何調(diào)試 Zynq UltraScale+ MPSoC VCU DDR 控制器?
    發(fā)表于 01-22 06:29

    ZYNQ Ultrascale+ MPSOC FPGA教程

    ZYNQ Ultrascale+ MPSOC FPGA教程
    發(fā)表于 02-02 07:53

    Zynq UltraScale+ MPSoC系列有多少SerDes接口?

    可以直接給出這個(gè)數(shù)據(jù)使我們看到有多少個(gè)SerDes接口,以及Zynq UltraScale+ MPSoC系列中的每款器件各有多少個(gè)
    發(fā)表于 02-08 05:50 ?657次閱讀

    閑話Zynq UltraScale+ MPSoC(連載1)

    閑話Zynq UltraScale+ MPSoC 作者: Hello,Panda 時(shí)隔三年,Xilinx推出了其全新的異構(gòu)SoC,大名叫Zynq U
    發(fā)表于 02-08 08:24 ?710次閱讀
    閑話<b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>(連載1)

    Xilinx基于ARM的Zynq-7000和Zynq UltraScale+ MPSoC及RFSoC器件是否存在安全漏洞

    本文試圖搞清楚在 Xilinx 基于 ARM 的 Zynq-7000、Zynq UltraScale+ MPSoCZynq
    發(fā)表于 06-28 15:53 ?2647次閱讀

    Zynq UltraScale+ MPSoC存儲器接口系統(tǒng)的介紹

    該視頻重點(diǎn)介紹了UltraScale +產(chǎn)品系列的第一個(gè)成員Zynq?UltraScale+?MPSoC,并展示了使用可編程邏輯中的DDR4 SDRAM IP的存儲器
    的頭像 發(fā)表于 11-29 06:36 ?3260次閱讀

    Zynq UltraScale+ MPSoC的發(fā)售消息

    Zynq?UltraScale+?MPSoC,現(xiàn)已開始發(fā)售。視頻向您重點(diǎn)介紹了Xilinx UltraScale +產(chǎn)品組合的第一位成員
    的頭像 發(fā)表于 11-27 06:47 ?3529次閱讀

    米爾科技Zynq UltraScale+ MPSoC技術(shù)參考手冊介紹

    Zynq UltraScale+ MPSoC是Xilinx推出的第二代多處理SoC系統(tǒng),在第一代Zynq-7000的基礎(chǔ)上做了全面升級,在單芯片上融合了功能強(qiáng)大的處理器系統(tǒng)(PS)和用
    的頭像 發(fā)表于 11-18 11:03 ?3057次閱讀
    米爾科技<b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>技術(shù)參考手冊介紹

    如何調(diào)試 Zynq UltraScale+ MPSoC VCU DDR 控制器?

    UltraScale+ MPSoC VCU(H.264/H.265 視頻編解碼器)連用。 因此,調(diào)試將不同于 MIG 等傳統(tǒng) Xilinx DDR 控制器。 DDR PHY 與電路板調(diào)試
    發(fā)表于 02-23 06:00 ?15次下載
    如何<b class='flag-5'>調(diào)試</b> <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b> VCU DDR 控制器?

    米爾電子zynq ultrascale+ mpsoc底板外設(shè)資源清單分享

    米爾電子推出的國內(nèi)首款zynq ultrascale+ mpsoc平臺核心板(及開發(fā)板):MYC-CZU3EG吸引了人工智能、工業(yè)控制、嵌入式視覺、ADAS、算法加速、云計(jì)算、有線/無線通信等
    發(fā)表于 01-07 15:20 ?3次下載
    米爾電子<b class='flag-5'>zynq</b> <b class='flag-5'>ultrascale+</b> <b class='flag-5'>mpsoc</b>底板外設(shè)資源清單分享

    ZYNQ Ultrascale+ MPSoC系列FPGA芯片設(shè)計(jì)

    基于 Xilinx 公司ZYNQ Ultrascale+ MPSoC系列 FPGA 芯片設(shè)計(jì),應(yīng)用于工廠自動化、機(jī)器視覺、工業(yè)質(zhì)檢等工業(yè)領(lǐng)域
    發(fā)表于 11-02 14:35 ?1522次閱讀

    Zynq UltraScale+ MPSoC中的隔離方法

    電子發(fā)燒友網(wǎng)站提供《Zynq UltraScale+ MPSoC中的隔離方法.pdf》資料免費(fèi)下載
    發(fā)表于 09-13 17:11 ?1次下載
    <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>中的隔離方法

    Zynq UltraScale+ MPSoC的隔離設(shè)計(jì)示例

    電子發(fā)燒友網(wǎng)站提供《Zynq UltraScale+ MPSoC的隔離設(shè)計(jì)示例.pdf》資料免費(fèi)下載
    發(fā)表于 09-13 11:28 ?3次下載
    <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>的隔離設(shè)計(jì)示例

    Zynq UltraScale+ MPSoC驗(yàn)證數(shù)據(jù)手冊

    電子發(fā)燒友網(wǎng)站提供《Zynq UltraScale+ MPSoC驗(yàn)證數(shù)據(jù)手冊.pdf》資料免費(fèi)下載
    發(fā)表于 09-15 10:13 ?0次下載
    <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>驗(yàn)證數(shù)據(jù)手冊