0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA產(chǎn)業(yè)將面臨怎樣的新格局和新走向?

FPGA之家 ? 來源:FPGA之家 ? 作者:FPGA之家 ? 2022-08-22 09:59 ? 次閱讀

2020年下半年全球半導(dǎo)體產(chǎn)業(yè)最主要的關(guān)鍵詞就是并購(gòu)。

從2020年7月至今,已經(jīng)宣布的幾起收購(gòu)案總交易額已經(jīng)達(dá)到1150億美金,從IC Insights統(tǒng)計(jì)的數(shù)據(jù)來看,目前為止已經(jīng)超過了2015年的M&A交易額總量1077億美金。當(dāng)然由于目前這5起巨量并購(gòu)中的多數(shù)都還需要通過各國(guó)監(jiān)管部門的審批,但“全家桶”式的多樣化組合、構(gòu)建業(yè)界巨型生態(tài)的主流打法已現(xiàn)端倪。

并購(gòu)1:2020年7月,美國(guó)模擬芯片巨頭亞諾德(ADI)斥資210億美元收購(gòu)其競(jìng)爭(zhēng)對(duì)手美國(guó)美信公司Maxim)。

并購(gòu)2:2020年9月,美國(guó)半導(dǎo)體廠商英偉達(dá)宣布以400億美元的價(jià)格收購(gòu)ARM,后者為全球最大的半導(dǎo)體IP供應(yīng)商。

并購(gòu)3:2020年10月,韓國(guó)SK海力士宣布90億美元收購(gòu)美國(guó)半導(dǎo)體廠商英特爾的NAND 閃存芯片業(yè)務(wù)。

并購(gòu)4:2020年10月27日,美國(guó)半導(dǎo)體廠商AMD宣布以350億美元全股票收購(gòu)美國(guó)半導(dǎo)體廠商賽靈思,后者為全球最大的FPGA獨(dú)立供應(yīng)商。自此,Xilinx和Altera這對(duì)馳騁疆場(chǎng)、相愛相殺多年的FPGA雙雄,終被兩大CPU巨頭收入囊中。

并購(gòu)5:2020年10月29日,美國(guó)半導(dǎo)體廠商Marvell宣布將以 100 億美元收購(gòu)光通信及數(shù)據(jù)互聯(lián)領(lǐng)域的美國(guó)半導(dǎo)體企業(yè)Inphi。

本文與大家探討,當(dāng)前全球已進(jìn)入疫后新常態(tài),面對(duì)未來風(fēng)云詭譎的半導(dǎo)體市場(chǎng),F(xiàn)PGA產(chǎn)業(yè)將面臨怎樣的新格局和新走向?

關(guān)于FPGA的來龍去脈

在所有的集成電路產(chǎn)品中,F(xiàn)PGA這種器件可謂骨骼輕奇。

FPGA的定義及物理結(jié)構(gòu)

FPGA,全名現(xiàn)場(chǎng)可編程門陣列(FieldProgrammable Gate Array),基于可編程器件(PAL、GAL)發(fā)展而來,是半定制化、可編程的集成電路。1984年,賽靈思聯(lián)合創(chuàng)始人Ross Freeman發(fā)明FPGA集成電路結(jié)構(gòu)。全球第一款商用FPGA芯片是賽靈思XC4000系列FPGA產(chǎn)品。

5cda0e02-21ad-11ed-ba43-dac502259ad0.png

▲FPGA物理結(jié)構(gòu)

FPGA芯片主要由三部分組成,分別為IOE(inputoutput element,輸入輸出單元)、LAB(logicarray block,邏輯陣列塊,賽靈思定義為可配置邏輯塊CLB)以及Interconnect(內(nèi)部連接線)。

FPGA芯片按固定模式處理信號(hào),可執(zhí)行新型任務(wù)(計(jì)算任務(wù)、通信任務(wù)等,相對(duì)專用集成電路(如ASIC芯片)更具靈活性,相對(duì)傳統(tǒng)可編程器件可添加更大規(guī)模電路數(shù)量以實(shí)現(xiàn)多元功能。FPGA芯片在實(shí)時(shí)性(數(shù)據(jù)信號(hào)處理速度快)、靈活性等方面具備顯著優(yōu)勢(shì),在深度學(xué)習(xí)領(lǐng)域占據(jù)不可替代地位,同時(shí)具有開發(fā)難度高的特點(diǎn)。

FPGA有什么優(yōu)點(diǎn)?

設(shè)計(jì)靈活:屬于硬件可重構(gòu)的芯片結(jié)構(gòu),內(nèi)部設(shè)置數(shù)量豐富的輸入輸出單元引腳及觸發(fā)器。

兼容性強(qiáng):FPGA芯片可與CMOS、TTL等大規(guī)模集成電路兼容,協(xié)同完成計(jì)算任務(wù)。

并行計(jì)算:FPGA內(nèi)部結(jié)構(gòu)可按數(shù)據(jù)包步驟多少搭建相應(yīng)數(shù)量流水線,不同流水線處理不同數(shù)據(jù)包,實(shí)現(xiàn)流水線并行、數(shù)據(jù)并行功能。

適用性強(qiáng):是專用電路中開發(fā)周期最短、應(yīng)用風(fēng)險(xiǎn)最低的器件之一(部分客戶無需投資研發(fā)即可獲得適用FPGA芯片)。

地位提升:早期在部分應(yīng)用場(chǎng)景是ASIC芯片的批量替代品,近期隨微軟等頭部互聯(lián)網(wǎng)企業(yè)數(shù)據(jù)中心規(guī)模擴(kuò)大,F(xiàn)PGA芯片應(yīng)用范圍擴(kuò)大。

FPGA VS CPU、GPU和ASIC

CPU為通用型器件,F(xiàn)PGA架構(gòu)相對(duì)CPU架構(gòu)偏重計(jì)算效率,依托FPGA并行計(jì)算處理視 覺算法可大幅提升計(jì)算速率,降低時(shí)延。

5ce81268-21ad-11ed-ba43-dac502259ad0.png

▲FPGA與CPU相比計(jì)算速率更高

GPU作為圖形處理器件,計(jì)算峰值較高。在機(jī)器學(xué)習(xí)領(lǐng)域(多指令平行處理單一數(shù)據(jù)),F(xiàn)PGA相對(duì)GPU在靈活性、功耗方面更勝一籌。

ASIC芯片專用度高,開發(fā)流程非重復(fù)成本(流片)極高。5G商用普及初期,F(xiàn)PGA可依托靈活性搶占市場(chǎng),但規(guī)?;慨a(chǎn)場(chǎng)景下,ASIC芯片更具競(jìng)爭(zhēng)優(yōu)勢(shì)。

5cfebf72-21ad-11ed-ba43-dac502259ad0.png

▲FPGAVS ASIC

FPGA技術(shù)解析

技術(shù)分類

FPGA廠商主要提供基于兩種技術(shù)類型的FPGA芯片:Flash技術(shù)類、SRAM技術(shù)類(StaticRandom-access Memory,靜態(tài)隨機(jī)存取存儲(chǔ)器)。兩類技術(shù)均可實(shí)現(xiàn)系統(tǒng)層面編程功能,具備較高計(jì)算性能,系統(tǒng)門陣列密度均可超過1兆。

5d14bfca-21ad-11ed-ba43-dac502259ad0.png

▲FPGA的兩種技術(shù)類型

這兩種架構(gòu)的核心區(qū)別在于:基于Flash的可編程器件具備非易失性特征,即電流關(guān)閉后,所存儲(chǔ)數(shù)據(jù)不消失?;赟RAM技術(shù)的FPGA芯片不具備非易失性特征,應(yīng)用范圍更廣泛。

FPGA產(chǎn)品在各個(gè)市場(chǎng)取得成功的另一個(gè)驅(qū)動(dòng)因素是FPGA技術(shù)的創(chuàng)新以及其在市場(chǎng)上的使用方式。嵌入式FPGA(eFPGA) IP是FPGA產(chǎn)品中的一個(gè)新亮點(diǎn)。在過去幾年中,eFPGA IP市場(chǎng)的增長(zhǎng)率遠(yuǎn)遠(yuǎn)高于整個(gè)FPGA市場(chǎng)的增長(zhǎng)率。更重要的是,諸如自動(dòng)駕駛、智能存儲(chǔ)、嵌入式和邊緣智能應(yīng)用等全新應(yīng)用都開始利用eFPGA IP技術(shù)。

5d23c98e-21ad-11ed-ba43-dac502259ad0.png

▲eFPGA解決方案架構(gòu)

以Achronix的SpeedcoreeFPGA半導(dǎo)體知識(shí)產(chǎn)權(quán)(IP)為代表,eFPGA正在為智能設(shè)備帶來全新的成本結(jié)構(gòu)。這使得采用FPGA邏輯陣列的系統(tǒng)級(jí)芯片(SoC)和專用芯片(ASIC)具有更高的成本效益、更高的數(shù)據(jù)帶寬以及面向未來的功能。

設(shè)計(jì)復(fù)雜度提升伴隨設(shè)備成本下降的經(jīng)濟(jì)趨勢(shì)推動(dòng)市場(chǎng)對(duì)eFPGA產(chǎn)生技術(shù)需求。

eFPGA的技術(shù)優(yōu)勢(shì)包括:

更優(yōu)質(zhì):eFPGA IP核及其他功能模塊的SoC設(shè)計(jì)相對(duì)傳統(tǒng)FPGA嵌入ASIC解決方案,在功耗、性能、體積、成本等方面表現(xiàn)更優(yōu)。

更方便: 下游應(yīng)用市場(chǎng)需求更迭速度快,eFPGA可重新編程特性有助于設(shè)計(jì)工程師更新SoC,產(chǎn)品可更長(zhǎng)久占有市場(chǎng),利潤(rùn)、收入、盈利能力同時(shí)大幅提升。eFPGA方案下SoC可實(shí)現(xiàn)高效運(yùn)行,一方面迅速更新升級(jí)以支持新接口標(biāo)準(zhǔn),另一方面可快速接入新功能以應(yīng)對(duì)細(xì)分化市場(chǎng)需求。

更節(jié)能:SoC設(shè)計(jì)嵌入eFPGA技術(shù)可在提高總性能的同時(shí)降低總功耗。利用eFPGA技術(shù)可重新編程特性,工程師可基于硬件,針對(duì)特定問題對(duì)解決方案進(jìn)行重新配置,進(jìn)而提高設(shè)計(jì)性能、降低功耗。

應(yīng)用分析

? 計(jì)算任務(wù)

FPGA可用于處理多元計(jì)算密集型任務(wù),依托流水線并行結(jié)構(gòu)體系,F(xiàn)PGA相對(duì)GPU、CPU在計(jì)算結(jié)果返回時(shí)延方面具備技術(shù)優(yōu)勢(shì)。矩陣運(yùn)算、機(jī)器視覺、圖像處理、搜索引擎排序、非對(duì)稱加密等類型的運(yùn)算都屬于計(jì)算密集型任務(wù)。這些運(yùn)算任務(wù)可由CPU卸載至FPGA執(zhí)行。

5d316e72-21ad-11ed-ba43-dac502259ad0.png

▲CPU、GPU、FPGA、ASIC應(yīng)用于

計(jì)算密集型任務(wù)數(shù)量級(jí)比較

? 通信任務(wù)

FPGA用于處理通信密集型任務(wù)不受網(wǎng)卡限制,在數(shù)據(jù)包吞吐量、時(shí)延方面表現(xiàn)優(yōu)于CPU,時(shí)延穩(wěn)定性較強(qiáng)。對(duì)稱加密、防火墻、網(wǎng)絡(luò)虛擬化等運(yùn)算都屬于通信密集型計(jì)算任務(wù),相對(duì)計(jì)算密集數(shù)據(jù)處理復(fù)雜度較低,易受通信硬件設(shè)備限制。

5d514cba-21ad-11ed-ba43-dac502259ad0.png

▲CPU、GPU、FPGA、交換機(jī)應(yīng)用于

計(jì)算密集型任務(wù)時(shí)延穩(wěn)定性

? 部署方式

FPGA部署包括集群式、分布式等,逐漸從中心化過渡至分布式,不同部署方式下,服務(wù)器溝通效率、故障傳導(dǎo)效應(yīng)表現(xiàn)各異。

共享部署:分享服務(wù)器網(wǎng)絡(luò)部署模式下,F(xiàn)PGA加速器有助于降低數(shù)據(jù)傳輸時(shí)延,維護(hù)數(shù)據(jù)中心時(shí)延穩(wěn)定,顯著提升虛擬機(jī)網(wǎng)絡(luò)性能。

加速層:依托高帶寬、低時(shí)延優(yōu)勢(shì),F(xiàn)PGA可組成網(wǎng)絡(luò)交換層與服務(wù)器軟件之間的數(shù)據(jù)中心加速層,并隨分布式加速器規(guī)模擴(kuò)大實(shí)現(xiàn)性能超線性提升。

云計(jì)算:FPGA技術(shù)無需依靠指令、無需共享內(nèi)存,在云計(jì)算網(wǎng)絡(luò)互連系統(tǒng)中提供低延遲流式通信功能,可廣泛滿足虛擬機(jī)之間、進(jìn)程之間加速需求。

FPGA的市場(chǎng)格局

全球FPGA市場(chǎng)早期由美國(guó)兩大巨頭(賽靈思、Altera) 高度壟斷,經(jīng)市場(chǎng)一系列并購(gòu)行為及初創(chuàng)團(tuán)隊(duì)影響,國(guó)際市場(chǎng)第一梯隊(duì)陣營(yíng)擴(kuò)容(包括賽靈思、IntelLattice、Microsemi、Achronix、Flexlogic、Quicklogic等)。

5d5acb1e-21ad-11ed-ba43-dac502259ad0.png

▲2018年全球FPGA芯片市場(chǎng)份額

兩家FPGA領(lǐng)導(dǎo)廠商相繼被CPU巨頭收購(gòu),表明了FPGA技術(shù)作為數(shù)據(jù)加速引擎的重要性,以及其在現(xiàn)代系統(tǒng)中所提供的高價(jià)值。業(yè)界意識(shí)到基于CPU的系統(tǒng)需要更高的性能,而FPGA正是加速這些應(yīng)用的理想解決方案。

在當(dāng)今的智能時(shí)代, FPGA產(chǎn)品比中央處理器(CPU)、圖形處理器(GPU)、專用集成電路(ASIC)以及其他任何的硬件加速技術(shù)都有更多的機(jī)會(huì)。由于FPGA產(chǎn)品具有可編程性、并行處理功能和高能效的特點(diǎn),所以非常適用于嵌入式應(yīng)用、邊緣計(jì)算、云/數(shù)據(jù)中心、5G、汽車、高性能計(jì)算等眾多應(yīng)用市場(chǎng)。

? 5G通信體系建設(shè)提高FPGA芯片需求

通信場(chǎng)景是FPGA芯片在產(chǎn)業(yè)鏈下游應(yīng)用最廣泛的場(chǎng)景(占比約40%),隨5G通信技術(shù)發(fā)展、硬件設(shè)備升級(jí)(基站天線收發(fā)器創(chuàng)新),F(xiàn)PGA面臨強(qiáng)勁市場(chǎng)需求驅(qū)動(dòng)。5G通信規(guī)?;逃迷诩?,推動(dòng)FPGA芯片用量提升、價(jià)格提升空間釋放。

? 自動(dòng)駕駛規(guī)?;逃锰嵘慨a(chǎn)需求

自動(dòng)駕駛領(lǐng)域ADAS系統(tǒng)、傳感器系統(tǒng)、車內(nèi)通信系統(tǒng)、娛樂信息系統(tǒng)等板塊對(duì)FPGA芯片產(chǎn)生增量需求,全球頭部FPGA廠商都在積極布局自動(dòng)駕駛賽道。

5d682908-21ad-11ed-ba43-dac502259ad0.png

基于FPGA的自動(dòng)泊車系統(tǒng)

廣泛應(yīng)用于機(jī)器學(xué)習(xí)強(qiáng)化項(xiàng)目 醫(yī)學(xué)診斷、工業(yè)視覺等領(lǐng)域?qū)C(jī)器學(xué)習(xí)需求增強(qiáng),且面臨神經(jīng)網(wǎng)絡(luò)演化帶來的挑戰(zhàn)。相對(duì)CPU、GPU,F(xiàn)PGA技術(shù)更適應(yīng)非固定、非標(biāo)準(zhǔn)設(shè)計(jì)平臺(tái),與機(jī)器學(xué)習(xí)融合度更深。

5d7d959a-21ad-11ed-ba43-dac502259ad0.png

▲FPGA應(yīng)用于機(jī)器學(xué)習(xí)

中國(guó)FPGA產(chǎn)業(yè)的發(fā)展機(jī)遇與挑戰(zhàn)

亞太地區(qū)市場(chǎng)是FPGA的主要應(yīng)用市場(chǎng),占全球市場(chǎng)份額超過40%。截至2018年底,中國(guó)FPGA市場(chǎng)規(guī)模接近140億元。5G、人工智能、物聯(lián)網(wǎng)技術(shù)發(fā)展推動(dòng)中國(guó)FPGA市場(chǎng)擴(kuò)張,刺激增量需求釋放。預(yù)計(jì)2023年,中國(guó)FPGA芯片市場(chǎng)規(guī)模將接近460億元。

5d927c12-21ad-11ed-ba43-dac502259ad0.png

▲中國(guó)FPGA芯片市場(chǎng)規(guī)模

當(dāng)前,全球FPGA芯片市場(chǎng)競(jìng)爭(zhēng)高度集中,頭部廠商占領(lǐng)“制空權(quán)”。中國(guó)FPGA芯片行業(yè)發(fā)展起步較晚,呈現(xiàn)藍(lán)海市場(chǎng)特征,本土企業(yè)主攻中低密度市場(chǎng),在高端“億門陣列” 級(jí)細(xì)分市場(chǎng)尚不具備與國(guó)際頭部廠商分庭抗禮的實(shí)力。受制造能力、封測(cè)工藝、 IP資源等因素影響,中國(guó)FPGA芯片企業(yè)技術(shù)創(chuàng)新實(shí)力亟待提升。

FPGA設(shè)計(jì)人才實(shí)力匱乏 中國(guó)FPGA領(lǐng)域人才儲(chǔ)備約為美國(guó)相應(yīng)人才儲(chǔ)備1/10 。根據(jù)中國(guó)國(guó)際人才交流基金會(huì)等機(jī)構(gòu)發(fā)布的《中國(guó)集成電路產(chǎn)業(yè)人才白皮書》顯示,截至2018年底,中國(guó)集成電路產(chǎn)業(yè)存量人才約40萬人,該產(chǎn)業(yè)人才需求約于2020年突破70萬人,存在約30萬人以上人才缺口。在FPGA板塊,美國(guó)頭部廠商Intel、賽靈思、Lattice等及高校和研究機(jī)構(gòu)相關(guān)人才近萬人。中國(guó)FPGA頭部廠商如紫光同創(chuàng)、高云半導(dǎo)體、安路科技等研發(fā)人員儲(chǔ)備平均不足200人,產(chǎn)業(yè)整體人才團(tuán)隊(duì)不足千人。

行業(yè)發(fā)展起步晚,產(chǎn)學(xué)研聯(lián)動(dòng)缺失 中國(guó)FPGA行業(yè)于2000年起步,美國(guó)則具備自20世紀(jì)80年代研發(fā)起步的背景。2010年,中國(guó)FPGA芯片實(shí)現(xiàn)量產(chǎn)。美國(guó)高校與芯片廠商聯(lián)動(dòng)緊 密,將大量技術(shù)輸送給企業(yè),相較而言,中國(guó)企業(yè)缺乏與高校等研究機(jī)構(gòu)合作經(jīng)驗(yàn),產(chǎn)學(xué)研聯(lián)動(dòng)不足,行業(yè)現(xiàn)有核心人才多從海外引進(jìn)。

研發(fā)實(shí)力匱乏制約企業(yè)成長(zhǎng) FPGA行業(yè)進(jìn)入門檻高,中國(guó)頭部企業(yè)較難取得后發(fā)優(yōu)勢(shì)。現(xiàn)階段,賽靈思已進(jìn)入7納米工藝億門級(jí)高端FPGA產(chǎn)品研發(fā)階段,中國(guó)頭部廠商如紫光同創(chuàng)、高云半導(dǎo)體等啟動(dòng) 28納米工藝千萬門級(jí)(7,000萬)中高密度FPGA研發(fā)工作,與全球頂尖水平相差約2代至3代,亟需人才資源支持。

在國(guó)家自主可控、自主創(chuàng)新的政策推動(dòng)下,為進(jìn)一步引導(dǎo)FPGA行業(yè)積極發(fā)展,國(guó)家政策部門整合行業(yè)、市場(chǎng)、用戶資源,為中國(guó)集成電路企業(yè)向國(guó)際第一梯隊(duì)目標(biāo)發(fā)展打造政策基礎(chǔ),從市場(chǎng)需求、供給、產(chǎn)業(yè)鏈結(jié)構(gòu)、價(jià)值鏈等層面出發(fā),出臺(tái)多項(xiàng)利好政策,為FPGA產(chǎn)業(yè)發(fā)展?fàn)I造良好的發(fā)展環(huán)境。

以美國(guó)Achronix公司為代表的FPGA產(chǎn)業(yè)初創(chuàng)企業(yè)的發(fā)展歷史講訴了如何實(shí)現(xiàn)FPGA創(chuàng)新和市場(chǎng)領(lǐng)先的成功故事。Achronix相繼推出了eFPGA IP、2D NoC等創(chuàng)新技術(shù)理念,歷時(shí)15年的發(fā)展,終能和兩大FPGA巨頭一較高下,這其中的經(jīng)驗(yàn)和思路值得國(guó)產(chǎn)FPGA公司借鑒。道阻且長(zhǎng),中國(guó)FPGA產(chǎn)業(yè)仍須努力!

審核編輯 :李倩

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21539

    瀏覽量

    600518
  • 集成電路
    +關(guān)注

    關(guān)注

    5371

    文章

    11250

    瀏覽量

    359785
  • 可編程器件
    +關(guān)注

    關(guān)注

    2

    文章

    59

    瀏覽量

    20912

原文標(biāo)題:FPGA:市場(chǎng)風(fēng)云突變下的危與機(jī)

文章出處:【微信號(hào):zhuyandz,微信公眾號(hào):FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    偉創(chuàng)力談制造業(yè)面臨的挑戰(zhàn)和發(fā)展趨勢(shì)

    在全球經(jīng)濟(jì)新格局下,制造業(yè)正面臨著一場(chǎng)深刻的變革,產(chǎn)業(yè)發(fā)展引來了新趨勢(shì)、新動(dòng)向。偉創(chuàng)力全球制造與服務(wù)業(yè)務(wù)總裁Paul Baldassari在制造和服務(wù)領(lǐng)域有著超過 25 年的從業(yè)經(jīng)驗(yàn),在近日與SME
    的頭像 發(fā)表于 08-22 09:25 ?536次閱讀

    國(guó)產(chǎn)FPGA的發(fā)展前景是什么?

    安全等問題需要持續(xù)關(guān)注和解決。 綜上所述,國(guó)產(chǎn)FPGA的發(fā)展前景是積極向好的。在市場(chǎng)需求增長(zhǎng)、國(guó)產(chǎn)替代加速、技術(shù)實(shí)力提升、產(chǎn)業(yè)鏈協(xié)同發(fā)展以及國(guó)際市場(chǎng)拓展等多方面因素的推動(dòng)下,國(guó)產(chǎn)FPGA
    發(fā)表于 07-29 17:04

    2024快應(yīng)用開發(fā)者大會(huì)亮點(diǎn)揭秘,攜手AI共塑未來十年服務(wù)分發(fā)新格局

    和商業(yè)化策略、技術(shù)探索、多終端智聯(lián)以及快游戲合作等多個(gè)前沿領(lǐng)域。頂尖開發(fā)者與業(yè)界領(lǐng)袖們將在此頂峰相見,共同沉浸于這場(chǎng)技術(shù)交流與創(chuàng)新交織的盛事之中。 攜手AI,決定未來十年服務(wù)分發(fā)新格局 面對(duì)日新月異的數(shù)字時(shí)代和不斷攀升的用戶體
    的頭像 發(fā)表于 07-24 17:47 ?1162次閱讀

    越科技越智慧!移遠(yuǎn)通信助力智慧金融走向萬千大眾

    ?4月16日,2024紫光展銳第三屆泛金融支付生態(tài)論壇在福州成功舉辦,此次論壇以“融智創(chuàng)新,共塑支付產(chǎn)業(yè)新生態(tài)”為主題,吸引了大批行業(yè)精英共襄盛舉,擘畫未來智慧金融新格局。 ? ? 作為紫光展銳生態(tài)
    發(fā)表于 04-17 11:24 ?174次閱讀
    越科技越智慧!移遠(yuǎn)通信助力智慧金融<b class='flag-5'>走向</b>萬千大眾

    越科技越智慧!移遠(yuǎn)通信助力智慧金融走向萬千大眾

    ··4月16日,2024紫光展銳第三屆泛金融支付生態(tài)論壇在福州成功舉辦,此次論壇以“融智創(chuàng)新,共塑支付產(chǎn)業(yè)新生態(tài)”為主題,吸引了大批行業(yè)精英共襄盛舉,擘畫未來智慧金融新格局。作為紫光展銳生態(tài)產(chǎn)業(yè)
    的頭像 發(fā)表于 04-17 08:29 ?278次閱讀
    越科技越智慧!移遠(yuǎn)通信助力智慧金融<b class='flag-5'>走向</b>萬千大眾

    文曄并購(gòu)Future重塑IC代理市場(chǎng)新格局

    行業(yè)芯事行業(yè)資訊
    深圳市浮思特科技有限公司
    發(fā)布于 :2024年04月09日 11:44:11

    FPGA在深度學(xué)習(xí)應(yīng)用中或取代GPU

    現(xiàn)場(chǎng)可編程門陣列 (FPGA) 解決了 GPU 在運(yùn)行深度學(xué)習(xí)模型時(shí)面臨的許多問題 在過去的十年里,人工智能的再一次興起使顯卡行業(yè)受益匪淺。英偉達(dá) (Nvidia) 和 AMD 等公司的股價(jià)也大幅
    發(fā)表于 03-21 15:19

    院士稱全球芯片產(chǎn)業(yè)格局即將重構(gòu)

    中國(guó)工程院院士鄔賀銓在大會(huì)上對(duì)RISC-V的發(fā)展給予了高度評(píng)價(jià)。他表示,RISC-V正進(jìn)入應(yīng)用爆發(fā)期,成為芯片指令集架構(gòu)的第三極,為全球芯片產(chǎn)業(yè)格局的重構(gòu)帶來了重大機(jī)遇。
    的頭像 發(fā)表于 03-14 15:41 ?5440次閱讀

    【換道賽車:新能源汽車的中國(guó)道路 | 閱讀體驗(yàn)】1.汽車產(chǎn)業(yè)大變局

    這場(chǎng)汽車產(chǎn)業(yè)的大變局對(duì)汽車行業(yè)的未來有著深遠(yuǎn)的影響。首先,新能源汽車的崛起改變傳統(tǒng)的汽車動(dòng)力系統(tǒng),推動(dòng)汽車行業(yè)向更加環(huán)保、高效的方向發(fā)展。隨著電池技術(shù)的不斷進(jìn)步和充電基礎(chǔ)設(shè)施的完善,純電動(dòng)車和混合
    發(fā)表于 03-04 07:28

    FPGA最小系統(tǒng)是怎樣

    請(qǐng)問FPGA的最小系統(tǒng)是怎樣的?
    發(fā)表于 02-22 09:58

    中國(guó)市場(chǎng)FPGA產(chǎn)業(yè)競(jìng)爭(zhēng)格局現(xiàn)狀分析

    全球范圍內(nèi)?FPGA?產(chǎn)業(yè)的興起與發(fā)展可分為兩個(gè)階段。 (1)第一階段是 20世紀(jì) 80 年代開始的創(chuàng)業(yè)潮與行業(yè)壟斷化。Lattice、Altera、Xilinx 和?Actel?在1983
    的頭像 發(fā)表于 11-29 10:10 ?957次閱讀

    未來四年HBM市場(chǎng)飆升52%

    DRAM產(chǎn)業(yè)在生成式AI中受益匪淺,未來DRAM產(chǎn)業(yè)格局發(fā)生重大變化。
    的頭像 發(fā)表于 11-25 15:08 ?790次閱讀

    #FPGA #電子技術(shù) 面臨走向FPGA工作崗位的建議

    fpga電子技術(shù)
    明德?lián)P助教小易老師
    發(fā)布于 :2023年11月18日 06:32:21

    告別傳統(tǒng),4G家用路由器重塑家庭網(wǎng)絡(luò)新格局!

    告別傳統(tǒng),4G家用路由器重塑家庭網(wǎng)絡(luò)格局!
    的頭像 發(fā)表于 11-13 11:57 ?320次閱讀

    人民網(wǎng)進(jìn)博夜話:共享開放新格局 共話數(shù)字新未來

    企業(yè)參展……一組組數(shù)據(jù),不僅刷新進(jìn)博會(huì)的成績(jī)單,也在持續(xù)打開中國(guó)共享開放的新格局。 進(jìn)博會(huì)期間,人民網(wǎng)推出《進(jìn)博夜話》欄目。人民網(wǎng)記者 馬天翼攝 “進(jìn)”而有為,“博”采眾長(zhǎng)。 第六屆進(jìn)博會(huì)期間,上海市楊浦區(qū)委常委、常務(wù)副區(qū)長(zhǎng)尼冰,英特爾公
    的頭像 發(fā)表于 11-11 15:10 ?358次閱讀
    人民網(wǎng)進(jìn)博夜話:共享開放<b class='flag-5'>新格局</b> 共話數(shù)字新未來