0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

double tail comparator的debug過程(二)

通向模擬集成電路設(shè)計(jì)師之路 ? 來源:向模擬集成電路設(shè)計(jì)師之 ? 作者:向模擬集成電路設(shè) ? 2022-08-27 09:50 ? 次閱讀

上一篇講到了vref,這篇接著說。

a5a64224-25a7-11ed-ba43-dac502259ad0.jpg

已知如果vip大于vin,那么一端連到vip的電容,另外一端連到vss的自然比較多,連到vref就少一些。對(duì)于vin,則是相反的,連到vref的比連到vss的多。于是,作者君畫了下面這張圖:

a5c450f2-25a7-11ed-ba43-dac502259ad0.jpg

symbol畫的比較大的電容,表示這個(gè)電容比較大。

跟上一篇的電路圖相比,作者君多畫了四個(gè)電容。

前面說到因?yàn)閏lkn導(dǎo)致的Cgs1把M2和M3的gate都往下拉了一點(diǎn)點(diǎn),因此,通過較大的C1(vin和vref之間的電容)和較小的C3(vip和vref之間的電容),這個(gè)電容之間拉扯的動(dòng)作影響到了vref。所以我們看到的右邊第二行vref,也被拉下來了一點(diǎn)點(diǎn)。

vref自然是有個(gè)LDO來提供精準(zhǔn)的0.9V的?,F(xiàn)在vref被拉下來一點(diǎn)點(diǎn),LDO(或者是buffer)必然會(huì)相應(yīng)這個(gè)變化,于是,我們?cè)趘1和v2之間看到第二行的vref有個(gè)上升的動(dòng)作。于是,通過C1和C3,vin和vip也跟著往上走。但是,為什么藍(lán)色的vin上升得比較快呢?想到高頻情況下,電容的等效阻抗1/cs跟電容的值成反比。于是vin連到vref的C1等效阻抗比較小,而vip連到vref的C3等效阻抗比較大。所以,可以解釋vin的快速上升過程。

寫到這里,大家自然可以想到,如果讓嘗試vref的LDO(或者是buffer)的負(fù)載電容大一些,是不是可以減小這個(gè)過沖的幅度呢?當(dāng)然是可以的,作者君把負(fù)載電容從10pF增大到50pF,也可以跟前文講的減小M1一樣,得到正確的波形,而且不犧牲反應(yīng)速度。(犧牲的自然是電容的面積了……)

或者不那么極端,稍微減小一點(diǎn)M1,然后同時(shí)增大負(fù)載電容,搭配起來使用,其實(shí)也不錯(cuò)。

講到這里其實(shí)差不多可以完結(jié)撒花,不過作者君還想再說完。有興趣的讀者可以接著看下去。

說一個(gè)跟vref和capacitor array有關(guān)的東西:

a5e90870-25a7-11ed-ba43-dac502259ad0.png

左圖是每個(gè)電容的開關(guān),右圖是加上了開關(guān)的等效電阻

Capacitor array每個(gè)電容的開關(guān)如左圖,輸入在vdd和vss之間,所以對(duì)于上面的pmos來說,最大的vgs是vref-0也就是vref,下面的nmos的最大vgs是vdd-0也就是vdd。所以等效電阻,上面的pmos的R1大于下面的nmos的R2.于是作者君就在comparator的第一級(jí)畫上了這兩個(gè)R。

簡(jiǎn)單算一下從vref到vin或者vip的傳輸函數(shù):

a607bf18-25a7-11ed-ba43-dac502259ad0.jpg

所以vin和vip兩邊,一個(gè)是pole小于zero,一個(gè)是pole大于zero。對(duì)于比較接近的doublet來說,用step response解釋就是:

a61a510a-25a7-11ed-ba43-dac502259ad0.jpg

到這里也就解釋了為什么過了圖2的v2那條線之后,vin和vip的差距一度很大。

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 電容
    +關(guān)注

    關(guān)注

    99

    文章

    5937

    瀏覽量

    149564
  • ldo
    ldo
    +關(guān)注

    關(guān)注

    35

    文章

    1879

    瀏覽量

    152960
  • Doubler
    +關(guān)注

    關(guān)注

    0

    文章

    7

    瀏覽量

    7169
  • DEBUG
    +關(guān)注

    關(guān)注

    3

    文章

    89

    瀏覽量

    19808

原文標(biāo)題:一個(gè)傳統(tǒng)double tail comparator的debug過程(二)

文章出處:【微信號(hào):analogIC_gossip,微信公眾號(hào):通向模擬集成電路設(shè)計(jì)師之路】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    tail實(shí)時(shí)滾動(dòng)顯示log文件內(nèi)容

    Linux shell中有一個(gè)tail命令,常用來顯示一個(gè)文件的最后n行文檔內(nèi)容但更多情況下,我們要在服務(wù)器端運(yùn)行程序,并且需要實(shí)時(shí)監(jiān)控運(yùn)行日志,這時(shí)候有什么辦法實(shí)時(shí)滾動(dòng)顯示log文件內(nèi)容?這里可以
    發(fā)表于 07-09 08:04

    Implementing Double Data Rate

    Implementing Double Data Rate I/O Signaling in Cyclone Devices Double data rate (DDR) transmission
    發(fā)表于 05-14 10:44 ?24次下載

    DEBUG程序的使用

    實(shí) 驗(yàn)   DEBUG程序的使用 一、 實(shí)驗(yàn)?zāi)康? 1. 學(xué)習(xí)使用DEBUG程序的各種命令
    發(fā)表于 09-28 23:10 ?7288次閱讀

    Dual Comparator Forms Temperat

    Dual Comparator Forms Temperature-Compensated Proximity Detector Abstract: Simple comparator
    發(fā)表于 11-24 10:42 ?1558次閱讀
    Dual <b class='flag-5'>Comparator</b> Forms Temperat

    Comparator/DAC Combinations So

    applications: the A/D conversion is sometimes better implemented with a discrete comparator and D/A converter. This substitution generally ent
    發(fā)表于 05-06 10:57 ?1776次閱讀
    <b class='flag-5'>Comparator</b>/DAC Combinations So

    LTC1540-Nanopower Comparator w

    LTC1540描述 The LTC®1540 is an ultralow power, single comparator with built-in reference. The comparator’s fe
    發(fā)表于 11-28 16:01 ?1839次閱讀
    LTC1540-Nanopower <b class='flag-5'>Comparator</b> w

    基于Tail Fit算法的抖動(dòng)分離

    提出了一種基于Tail-Fit算法的抖動(dòng)分離技術(shù),給出了該算法的擬合結(jié)果,驗(yàn)證了算法的有效性。
    發(fā)表于 10-19 14:42 ?33次下載
    基于<b class='flag-5'>Tail</b> Fit算法的抖動(dòng)分離

    Vivado+FPGA:如何使用Debug Cores(ILA)在線調(diào)試

    "; attribute mark_debug of sine: signal is "true"; Verilog: 在需要debug的信號(hào)前加上 (* MARKDEBUG = "TRUE" *) 第步:設(shè)置
    發(fā)表于 02-08 08:52 ?2440次閱讀

    Linux中tail與cat的區(qū)別

    使用tail命令的-f選項(xiàng)可以方便的查閱正在改變的日志文件,tail -f filename會(huì)把filename里最尾部的內(nèi)容顯示在屏幕上,并且不但刷新,使你看到最新的文件內(nèi)容。
    的頭像 發(fā)表于 03-15 17:21 ?9706次閱讀

    結(jié)合搜索與Double DQN的非完備信息博弈算法

    DQN強(qiáng)化學(xué)習(xí)算法的非完備信息博弈算法。在 Expectimax搜索樹擴(kuò)展過程中,采用 Double DQN輸出的估值設(shè)計(jì)估值函數(shù)并在限定搜索層數(shù)內(nèi)獲得分支估值,同時(shí)設(shè)計(jì)剪枝策略對(duì)打牌動(dòng)作進(jìn)行排序與部分?jǐn)U展實(shí)現(xiàn)搜索樹剪枝。在 Doub
    發(fā)表于 03-24 11:02 ?10次下載
    結(jié)合搜索與<b class='flag-5'>Double</b> DQN的非完備信息博弈算法

    AD45048:Rail-Tail在ADSL線驅(qū)動(dòng)數(shù)據(jù)Sheet上運(yùn)行.

    AD45048:Rail-Tail在ADSL線驅(qū)動(dòng)數(shù)據(jù)Sheet上運(yùn)行.
    發(fā)表于 04-16 10:17 ?0次下載
    AD45048:Rail-<b class='flag-5'>Tail</b>在ADSL線驅(qū)動(dòng)數(shù)據(jù)Sheet上運(yùn)行.

    配置VScode編譯、調(diào)試STM32()Cortex-Debug插件

    配置VScode編譯、調(diào)試STM32()Cortex-Debug插件
    發(fā)表于 12-01 12:21 ?17次下載
    配置VScode編譯、調(diào)試STM32(<b class='flag-5'>二</b>)Cortex-<b class='flag-5'>Debug</b>插件

    HT8 MCU Comparator應(yīng)用須知

    本文通過對(duì) Comparator 的功能應(yīng)用及其注意事項(xiàng)的介紹,幫助用戶正確應(yīng)用 HT8 MCU Comparator 的功能。
    發(fā)表于 06-09 17:36 ?2次下載
    HT8 MCU <b class='flag-5'>Comparator</b>應(yīng)用須知

    如何在Intellij IDEA中使用好Debug

    Debug用來追蹤代碼的運(yùn)行流程,通常在程序運(yùn)行過程中出現(xiàn)異常,啟用Debug模式可以分析定位異常發(fā)生的位置,以及在運(yùn)行過程中參數(shù)的變化。通常我們也可以啟用
    的頭像 發(fā)表于 07-29 11:35 ?981次閱讀

    double tail comparatordebug過程(一)

    很久沒有分享自己的debug過程了,實(shí)在是因?yàn)檫@種過程需要介紹太多的背景故事,不是容易很詳細(xì)的展開。
    的頭像 發(fā)表于 08-27 08:55 ?887次閱讀