0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

使用PWM+DMA的方式驅(qū)動(dòng)WS2812B

冬至配餃子 ? 來(lái)源:兆易創(chuàng)新GD32 MCU ? 作者:豪哥 ? 2022-09-22 18:17 ? 次閱讀

1.實(shí)驗(yàn)簡(jiǎn)述

使用 PWM+DMA 的方式驅(qū)動(dòng) WS2812B,并每隔 800ms 隨機(jī)顯示不同顏色。

2.實(shí)驗(yàn)硬件

兆易創(chuàng)新GD32F310 MCU開(kāi)發(fā)板套件

16*16 WS2812B

3.開(kāi)發(fā)環(huán)境

keil 5.24.1

4.WS2812B講解

WS2812B 是 WorldSemi 公司推出的外控集成 RGB LED 光源。

WS2812B 則是將控制 IC 和傳統(tǒng) RGB 結(jié)合到了一起,我們使用一條數(shù)據(jù)線即可驅(qū)動(dòng)所有 RGB,另外也使得顏色控制的精度更高,同時(shí)也支持 RGB 燈組的單個(gè) RGB 顏色控制,因此WS2812B 除了可以用于照明外,還能應(yīng)用到辦公樓外墻來(lái)作為屏幕進(jìn)行廣告宣傳,此時(shí)每個(gè)WS2812B 就對(duì)應(yīng)的是一個(gè)像素點(diǎn)。

WS2812B 數(shù)據(jù)協(xié)議采用單線歸零碼的通訊方式,像素點(diǎn)在上電復(fù)位以后,DIN 端接受從控制器傳輸過(guò)來(lái)的數(shù)據(jù),首先送過(guò)來(lái)的 24bit 數(shù)據(jù)(紅綠藍(lán)各 8 位)被第一個(gè)像素點(diǎn)提取后,送到像素點(diǎn)內(nèi)部的數(shù)據(jù)鎖存器,剩余的數(shù)據(jù)經(jīng)過(guò)內(nèi)部整形處理電路整形放大后通過(guò) DO端口開(kāi)始轉(zhuǎn)發(fā)輸出給下一個(gè)級(jí)聯(lián)的像素點(diǎn),每經(jīng)過(guò)一個(gè)像素點(diǎn)的傳輸,信號(hào)減少 24bit。

像素點(diǎn)采用自動(dòng)整形轉(zhuǎn)發(fā)技術(shù),使得該像素點(diǎn)的級(jí)聯(lián)個(gè)數(shù)不受信號(hào)傳送的限制,僅僅受限信號(hào)傳輸速度要求。WS2812B 傳輸協(xié)議時(shí)序圖如下:

poYBAGMsNVWAQH7zAADM5joxSaQ966.png

從時(shí)序圖可以看到,二進(jìn)制的 0 和 1 用周期相同的不同占空比的方波來(lái)表示(1 對(duì)應(yīng) 68%占空比,0 對(duì)應(yīng) 32%占空比),因此我們可以通過(guò)改變 PWM 的占空比來(lái)模擬出要傳輸?shù)臄?shù)據(jù)。因?yàn)閃S2812B 協(xié)議對(duì)傳輸速度要求非常高,所以使用了 DMA+PWM 這種方法,在比較事件發(fā)生時(shí),DMA 立即響應(yīng)并將對(duì)應(yīng)數(shù)據(jù)傳輸?shù)奖容^寄存器中。

WS2812 的傳輸過(guò)程如下圖:

pYYBAGMsNW-AVtv-AADBaJurDk0079.png

每經(jīng)過(guò)一個(gè) WS2812B,數(shù)據(jù)就被截走24bit。

WS2812B 的 24 位數(shù)據(jù)如下:

poYBAGMsNYGAP6aaAAAxzrIMkG8565.png

數(shù)據(jù)按照高位在前的順序分別輸出綠色,紅色和藍(lán)色控制數(shù)據(jù)。在這里我們順便說(shuō)一下RGB 的取色原理。RGB 由三種基本色構(gòu)成,分別是紅,綠,藍(lán),也叫加法三原色,通過(guò)這三種顏色的不同比例可以組合出各種顏色,而不同比例可以通過(guò) PWM 的占空比來(lái)實(shí)現(xiàn)。如果想要特定顏色,可以使用調(diào)色板取色,如下圖:

pYYBAGMsNZOAV_rJAADml-OVVdM997.png

5.實(shí)驗(yàn)步驟

1、獲取demo工程,任意選中一個(gè)工程用來(lái)修改實(shí)現(xiàn)PWM+DMA控制WS2812B 功能

pYYBAGMsNaSADPLCAABevuiTaP8394.png

2、添加需要的頭文件和宏定義

poYBAGMsNbuAHSAEAABkINLUKwI266.png

TIMER0_CH0CC為定時(shí)器1的CH0通道比較輸出的地址。

num為要控制ws2812B的數(shù)量,這里我們只控制1個(gè)。

3、定義需要的變量和聲明需要的初化函數(shù)

pYYBAGMsNcyAAkPdAABK6V0HIbk666.png

RGB_buffer數(shù)組用來(lái)存放占空比數(shù)值。

4、配置PWM輸出引腳

poYBAGMsNeCAPXqGAACDBOJvEKs372.png

5、配置定時(shí)器0通道0輸出PWM

`void timer_config(void)
{

timer_oc_parameter_struct timer_ocintpara;
timer_parameter_struct timer_initpara;

rcu_periph_clock_enable(RCU_TIMER0);
timer_deinit(TIMER0);
/* TIMER0 configuration */
timer_initpara.prescaler         = 0;
timer_initpara.alignedmode       = TIMER_COUNTER_EDGE;
timer_initpara.counterdirection  = TIMER_COUNTER_UP;
timer_initpara.period            = 89;
timer_initpara.clockdivision     = TIMER_CKDIV_DIV1;
timer_initpara.repetitioncounter = 0;
timer_init(TIMER0, &timer_initpara);
/* CH0 configuration in PWM0 mode */
timer_ocintpara.outputstate  = TIMER_CCX_ENABLE;
timer_ocintpara.outputnstate = TIMER_CCXN_ENABLE;
timer_ocintpara.ocpolarity   = TIMER_OC_POLARITY_HIGH;
timer_ocintpara.ocnpolarity  = TIMER_OCN_POLARITY_HIGH;
timer_ocintpara.ocidlestate  = TIMER_OC_IDLE_STATE_HIGH;
timer_ocintpara.ocnidlestate = TIMER_OCN_IDLE_STATE_LOW;
timer_channel_output_config(TIMER0, TIMER_CH_0, &timer_ocintpara);
timer_channel_output_pulse_value_config(TIMER0, TIMER_CH_0,0);
timer_channel_output_mode_config(TIMER0, TIMER_CH_0, TIMER_OC_MODE_PWM0);
timer_channel_output_shadow_config(TIMER0, TIMER_CH_0, TIMER_OC_SHADOW_ENABLE);
/* TIMER0 primary output enable */
timer_primary_output_config(TIMER0, ENABLE);
/* TIMER0 CH0D DMA request enable */
timer_dma_enable(TIMER0, TIMER_DMA_CH0D);
/* auto-reload preload enable */
//timer_auto_reload_shadow_enable(TIMER0);
    timer_auto_reload_shadow_disable(TIMER0);
/* TIMER0 counter enable */
timer_enable(TIMER0);

}`

6、DMA配置

`void dma_config(void)
{

dma_parameter_struct dma_init_struct;

/* enable DMA clock */
rcu_periph_clock_enable(RCU_DMA);

/* initialize DMA channel1 */
dma_deinit(DMA_CH1);

/* DMA channel1 initialize */
dma_deinit(DMA_CH1);
dma_init_struct.direction    = DMA_MEMORY_TO_PERIPHERAL;
dma_init_struct.memory_addr  = (uint32_t)RGB_buffer;
dma_init_struct.memory_inc   = DMA_MEMORY_INCREASE_ENABLE;
dma_init_struct.memory_width = DMA_MEMORY_WIDTH_16BIT;
dma_init_struct.number       =sizeof(RGB_buffer);
dma_init_struct.periph_addr  = (uint32_t)TIMER0_CH0CC;
dma_init_struct.periph_inc   = DMA_PERIPH_INCREASE_DISABLE;
dma_init_struct.periph_width = DMA_PERIPHERAL_WIDTH_16BIT;
dma_init_struct.priority     = DMA_PRIORITY_HIGH;
dma_init(DMA_CH1, &dma_init_struct);
/* configure DMA mode */
    dma_circulation_disable(DMA_CH1);
dma_memory_to_memory_disable(DMA_CH1);
/* enable DMA channel1 */
dma_channel_enable(DMA_CH1);

}`

7、通過(guò)PWM模擬WS2812B數(shù)據(jù)協(xié)議實(shí)現(xiàn)顏色設(shè)置

`void setRGB(uint8_t red,uint8_t green,uint8_t blue)
{
uint8_t i = 0,j =0;
uint32_t rgb_value = green<<16 | red<<8 | blue;

while(dma_flag_get(DMA_CH1, DMA_INTF_FTFIF)==RESET);
dma_flag_clear(DMA_CH1,DMA_INTC_FTFIFC);
dma_channel_disable(DMA_CH1);
dma_transfer_number_config(DMA_CH1,sizeof(RGB_buffer));
for(j=1;j<=num;++j)
{
for(i=0;i<24;++i)
{
  if((rgb_value<

}`

8、在主函數(shù)實(shí)現(xiàn)每隔 800ms 隨機(jī)顯示不同顏色功能。

`int main(void)
{

  systick_config();
gpio_config();
dma_config();
timer_config();
usart0_gpio_config();
usart0_config();
/* print out */
printf("Hello world!\n\r");
while(1)
 {
     setRGB(rand()%256,rand()%256,rand()%256);
     delay_1ms(800);
    };

}`




審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • PWM
    PWM
    +關(guān)注

    關(guān)注

    114

    文章

    5105

    瀏覽量

    212878
  • MCU芯片
    +關(guān)注

    關(guān)注

    3

    文章

    245

    瀏覽量

    11318
  • WS2812B
    +關(guān)注

    關(guān)注

    1

    文章

    38

    瀏覽量

    2132
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    什么是WS2812BWS2812B燈帶是怎么連接的呢?

    WS2812B是一款全彩LED控制IC,單總線控制,何為單總線我的理解就是數(shù)據(jù)線在一根線上傳輸?shù)目刂?b class='flag-5'>方式就是單總線。
    的頭像 發(fā)表于 07-08 14:53 ?9595次閱讀
    什么是<b class='flag-5'>WS2812B</b>?<b class='flag-5'>WS2812B</b>燈帶是怎么連接的呢?

    STM32F030 MDA+PWM驅(qū)動(dòng)ws2812b,起始的50US的低電平是怎么產(chǎn)生?

    STM32F030 MDA+PWM 驅(qū)動(dòng) ws2812b,起始的50US的低電平怎么產(chǎn)生? 現(xiàn)在dma+pwm,倒是可以產(chǎn)生一個(gè)800K hz的驅(qū)動(dòng)
    發(fā)表于 04-12 07:23

    WS2812B RGB全彩LED燈珠

    WS2812B RGB全彩LED燈珠,只需通過(guò)一根信號(hào)線控制多個(gè)燈顯示,本教程使用X-CTR100控制器驅(qū)動(dòng)WS2812燈環(huán)。原理WS2812B是一個(gè)集控制電路與發(fā)光電路于一體的智能外
    發(fā)表于 08-06 08:15

    怎樣使用硬件定時(shí)器PWM+DMA方式實(shí)現(xiàn)WS2812驅(qū)動(dòng)

    WS2812驅(qū)動(dòng)方式有哪幾種?怎樣使用硬件定時(shí)器PWM+DMA方式實(shí)現(xiàn)WS2812
    發(fā)表于 01-25 06:56

    怎樣給WS2812B發(fā)送數(shù)據(jù)呢

    1. 對(duì)于寫(xiě)驅(qū)動(dòng)來(lái)說(shuō)WS2812B芯片手冊(cè)主要就是看通訊。簡(jiǎn)單來(lái)說(shuō)就是給WS2812B發(fā)送數(shù)據(jù),數(shù)據(jù)就是RGB對(duì)應(yīng)的值,先發(fā)高位,按照GRB的順序發(fā)送數(shù)據(jù)。通常我們用高電平表示數(shù)字信號(hào)“1”,低電平
    發(fā)表于 02-22 06:51

    怎樣使用PWM+DMA方式驅(qū)動(dòng)WS2812B

    PWM+DMA 驅(qū)動(dòng) WS2812一、項(xiàng)目要求(主要目的)使用 PWM+DMA方式驅(qū)動(dòng)
    發(fā)表于 02-22 06:42

    可以用SPI DMA驅(qū)動(dòng)WS2812b嗎?

    我打算用SPI DMA驅(qū)動(dòng)WS2812b,請(qǐng)問(wèn)這是可行的嗎?還有如果我啟動(dòng)了SPI,可不可以只有MISO引腳有復(fù)用,其他引腳繼續(xù)用作GPIO。
    發(fā)表于 08-18 07:42

    CH563驅(qū)動(dòng)ws2812B使用SPI+DMA呢還是用PWM+DMA呢?

    CH563驅(qū)動(dòng)ws2812B使用SPI+DMA呢還是用PWM+DMA呢?有沒(méi)有大佬有例程的?評(píng)估版文件里面的程序(PWM
    發(fā)表于 10-17 08:02

    使用ESP8266驅(qū)動(dòng)WS2812B型RGB LED簡(jiǎn)單3步打造智能燈

    使用ESP8266驅(qū)動(dòng)WS2812B型RGB LED簡(jiǎn)單3步打造智能燈
    發(fā)表于 03-26 17:15 ?140次下載

    STM32使用LL庫(kù)PWMDMA模式驅(qū)動(dòng)ws2812

    STM32使用LL庫(kù)PWMDMA模式驅(qū)動(dòng)ws2812一、WS2812簡(jiǎn)介二、CUBEMX初始化代碼配置一、
    發(fā)表于 11-30 10:21 ?66次下載
    STM32使用LL庫(kù)<b class='flag-5'>PWM</b>的<b class='flag-5'>DMA</b>模式<b class='flag-5'>驅(qū)動(dòng)</b><b class='flag-5'>ws2812</b>

    STM32F1 DMA+PWM 控制WS2812B LED燈

    1. 對(duì)于寫(xiě)驅(qū)動(dòng)來(lái)說(shuō)WS2812B芯片手冊(cè)主要就是看通訊。簡(jiǎn)單來(lái)說(shuō)就是給WS2812B發(fā)送數(shù)據(jù),數(shù)據(jù)就是RGB對(duì)應(yīng)的值,先發(fā)高位,按照GRB的順序發(fā)送數(shù)據(jù)。通常我們用高電平表示數(shù)字信號(hào)“1”,低電平
    發(fā)表于 12-28 19:11 ?76次下載
    STM32F1 <b class='flag-5'>DMA+PWM</b> 控制<b class='flag-5'>WS2812B</b> LED燈

    PWM+DMA 驅(qū)動(dòng) WS2812

    PWM+DMA 驅(qū)動(dòng) WS2812一、項(xiàng)目要求(主要目的)使用 PWM+DMA方式驅(qū)動(dòng)
    發(fā)表于 12-28 19:14 ?112次下載
    <b class='flag-5'>PWM+DMA</b> <b class='flag-5'>驅(qū)動(dòng)</b> <b class='flag-5'>WS2812</b>

    WS2812B遠(yuǎn)程區(qū)域面板控制器

    電子發(fā)燒友網(wǎng)站提供《WS2812B遠(yuǎn)程區(qū)域面板控制器.zip》資料免費(fèi)下載
    發(fā)表于 07-18 09:07 ?2次下載
    <b class='flag-5'>WS2812B</b>遠(yuǎn)程區(qū)域面板控制器

    ESP32 WS2812b分線板開(kāi)源分享

    電子發(fā)燒友網(wǎng)站提供《ESP32 WS2812b分線板開(kāi)源分享.zip》資料免費(fèi)下載
    發(fā)表于 07-29 10:04 ?3次下載
    ESP32 <b class='flag-5'>WS2812b</b>分線板開(kāi)源分享

    WS2812B遙控?zé)艨刂破?/a>

    電子發(fā)燒友網(wǎng)站提供《WS2812B遙控?zé)艨刂破?zip》資料免費(fèi)下載
    發(fā)表于 11-25 09:16 ?7次下載
    <b class='flag-5'>WS2812B</b>遙控?zé)艨刂破? />    </a>
</div>                </div>            </div><!-- .main-wrap -->
        </article>

        <aside class=

    精選推薦

    更多
    • 文章
    • 資料
    • 帖子

    推薦專(zhuān)欄

    更多