0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

CRC-12采用什么結(jié)構(gòu)?CRC-16采用什么結(jié)構(gòu)?

通信工程師專輯 ? 來源:通信工程師專輯 ? 作者:了凡三訓(xùn) ? 2022-10-09 11:37 ? 次閱讀

繼續(xù)講解!CRC的內(nèi)容之前在理論課里面進(jìn)行了詳細(xì)的講解,它有很多種生成公式。CRC-12采用什么結(jié)構(gòu)?CRC-16采用什么結(jié)構(gòu)?請同學(xué)們先回顧一下之前的理論課程!

0add3e0c-40da-11ed-96c9-dac502259ad0.jpg

先熟悉一下仿真環(huán)境,推薦同學(xué)們看一看《仿真那些事》系列文章,這樣就對仿真有個(gè)初步了解!給出其中的而一段話!什么是仿真?百度百科是這么說的:利用模型復(fù)現(xiàn)實(shí)際系統(tǒng)中發(fā)生的本質(zhì)過程,并通過對系統(tǒng)模型的實(shí)驗(yàn)來研究存在的或設(shè)計(jì)中的系統(tǒng),又稱模擬。這里所指的模型包括物理的和數(shù)學(xué)的,靜態(tài)的和動(dòng)態(tài)的,連續(xù)的和離散的各種模型。所指的系統(tǒng)也很廣泛,包括電氣、機(jī)械、化工、水力、熱力等系統(tǒng),也包括社會、經(jīng)濟(jì)、生態(tài)、管理等系統(tǒng)。

0b01c678-40da-11ed-96c9-dac502259ad0.jpg

只仿真CRC編碼,

是不是有點(diǎn)簡單了。

那加入生成M序列的仿真!

為后續(xù)學(xué)習(xí)擴(kuò)頻知識打下基礎(chǔ)!

知識就是這樣的循序漸進(jìn)!

0b1aaf30-40da-11ed-96c9-dac502259ad0.jpg

什么是擴(kuò)頻系統(tǒng)?

0b39a30e-40da-11ed-96c9-dac502259ad0.jpg

代碼一定要規(guī)范!

注釋必不可少!

文件名、變量名都要規(guī)范命名!

0b54f5aa-40da-11ed-96c9-dac502259ad0.jpg

寄存器初始化!

采用0矩陣還是1矩陣呢?

百度一下!

0b712b4e-40da-11ed-96c9-dac502259ad0.jpg






審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 寄存器
    +關(guān)注

    關(guān)注

    31

    文章

    5276

    瀏覽量

    119693
  • 編程語言
    +關(guān)注

    關(guān)注

    10

    文章

    1923

    瀏覽量

    34517
  • CRC-16
    +關(guān)注

    關(guān)注

    0

    文章

    5

    瀏覽量

    7525

原文標(biāo)題:計(jì)算機(jī)通信與網(wǎng)絡(luò)v2 實(shí)驗(yàn)課程(3)

文章出處:【微信號:gh_30373fc74387,微信公眾號:通信工程師專輯】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    使用MSP430 MCU實(shí)現(xiàn)CRC

    電子發(fā)燒友網(wǎng)站提供《使用MSP430 MCU實(shí)現(xiàn)CRC.pdf》資料免費(fèi)下載
    發(fā)表于 10-23 10:19 ?0次下載
    使用MSP430 MCU實(shí)現(xiàn)<b class='flag-5'>CRC</b>

    CRC實(shí)施

    電子發(fā)燒友網(wǎng)站提供《CRC實(shí)施.pdf》資料免費(fèi)下載
    發(fā)表于 09-26 09:44 ?0次下載
    <b class='flag-5'>CRC</b>實(shí)施

    ADS7138小型,8通道,12位ADC與12C接口,GPIO和CRC數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《ADS7138小型,8通道,12位ADC與12C接口,GPIO和CRC數(shù)據(jù)表.pdf》資料免費(fèi)下載
    發(fā)表于 09-13 09:36 ?0次下載
    ADS7138小型,8通道,<b class='flag-5'>12</b>位ADC與<b class='flag-5'>12</b>C接口,GPIO和<b class='flag-5'>CRC</b>數(shù)據(jù)表

    如何計(jì)算BMHD中的逆CRC值?

    我想知道如何計(jì)算 BMHD 中的逆 CRC 值。 以Ifx_Ssw.h中的示例為例 Ifx_Ssw_Bmhd結(jié)構(gòu)的頭文件和手冊。 /** BMHD 的結(jié)構(gòu)定義 * 根據(jù) BMI 和起始地址計(jì)算并
    發(fā)表于 05-31 06:43

    使用C語言實(shí)現(xiàn)的CRC計(jì)算單元的例子

    使用C語言實(shí)現(xiàn)的CRC計(jì)算單元的例子
    的頭像 發(fā)表于 05-16 16:16 ?760次閱讀

    CRC(循環(huán)冗余校驗(yàn))應(yīng)用舉例

    CRC(循環(huán)冗余校驗(yàn))應(yīng)用舉例
    的頭像 發(fā)表于 05-16 16:12 ?901次閱讀

    這個(gè)CRC計(jì)算單元是如何基于固定的生成多項(xiàng)式(0x4C11DB7)來獲取給定數(shù)據(jù)緩沖區(qū)的CRC碼的?

    這個(gè)CRC計(jì)算單元是如何基于固定的生成多項(xiàng)式(0x4C11DB7)來獲取給定數(shù)據(jù)緩沖區(qū)的CRC碼的?
    的頭像 發(fā)表于 05-16 16:06 ?627次閱讀

    用STM32L05x的CRC模塊做modbus CRC16計(jì)算,結(jié)果不正確是為什么?

    根據(jù)參考文檔,CRC模塊可以配置CRC多項(xiàng)式,應(yīng)該可以做(Modbus)CRC16計(jì)算,自己試了一下,發(fā)現(xiàn)結(jié)果不正確,總是一個(gè)固定值,不知道為什么?另外多項(xiàng)式應(yīng)該是0x8005還是0xA001?
    發(fā)表于 04-29 06:50

    RA MCU中的CRC模塊和使用方法

    瑞薩RA單片機(jī)硬件CRC計(jì)算單元采用固定的多項(xiàng)式發(fā)生器來計(jì)算8位或者32位數(shù)據(jù)的CRC校驗(yàn)值,對數(shù)據(jù)傳輸或數(shù)據(jù)存儲的一致性、完整性進(jìn)行驗(yàn)證。這篇文章重點(diǎn)介紹RA MCU中的CRC模塊和
    發(fā)表于 02-26 11:45 ?748次閱讀
    RA MCU中的<b class='flag-5'>CRC</b>模塊和使用方法

    fpga報(bào)告crc故障是什么意思

    FPGA (Field Programmable Gate Array) 是一種可編程邏輯器件,它可以被重新配置以執(zhí)行特定的功能。CRC (Cyclic Redundancy Check) 是一種
    的頭像 發(fā)表于 01-04 11:06 ?1223次閱讀

    虹科技術(shù) | 保障數(shù)據(jù)傳輸穩(wěn)定性:BabyLIN產(chǎn)品的CRC算法實(shí)現(xiàn)

    CRC校驗(yàn)(循環(huán)冗余校驗(yàn))是數(shù)據(jù)通訊中最常采用的校驗(yàn)方式。CAN協(xié)議中,總線通信節(jié)點(diǎn)也常采用CRC算法對各種總線傳輸?shù)臄?shù)據(jù)進(jìn)行校驗(yàn)。
    的頭像 發(fā)表于 01-02 17:23 ?464次閱讀
    虹科技術(shù) | 保障數(shù)據(jù)傳輸穩(wěn)定性:BabyLIN產(chǎn)品的<b class='flag-5'>CRC</b>算法實(shí)現(xiàn)

    虹科技術(shù)|保障數(shù)據(jù)傳輸穩(wěn)定性:BabyLIN產(chǎn)品的CRC算法實(shí)現(xiàn)

    導(dǎo)讀: CRC校驗(yàn)(循環(huán)冗余校驗(yàn))是數(shù)據(jù)通訊中最常采用的校驗(yàn)方式。CAN協(xié)議中,總線通信節(jié)點(diǎn)也常采用CRC算法對各種總線傳輸?shù)臄?shù)據(jù)進(jìn)行校驗(yàn)。CRC
    的頭像 發(fā)表于 01-02 10:45 ?417次閱讀
    虹科技術(shù)|保障數(shù)據(jù)傳輸穩(wěn)定性:BabyLIN產(chǎn)品的<b class='flag-5'>CRC</b>算法實(shí)現(xiàn)

    CRC校驗(yàn)原理及其軟件實(shí)現(xiàn)

    電子發(fā)燒友網(wǎng)站提供《CRC校驗(yàn)原理及其軟件實(shí)現(xiàn).pdf》資料免費(fèi)下載
    發(fā)表于 11-16 10:11 ?1次下載
    <b class='flag-5'>CRC</b>校驗(yàn)原理及其軟件實(shí)現(xiàn)

    CRC校驗(yàn)碼的多種Verilog實(shí)現(xiàn)方式

    CRC-8的生成多項(xiàng)式為G(D)=D8+D2+D+1,對CRC進(jìn)行簡化表示時(shí)可以忽略最高位的D8,結(jié)合圖示中三個(gè)異或運(yùn)算的位置更容易理解生成多項(xiàng)式,8位CRC有8個(gè)寄存器C0~C7,根據(jù)多項(xiàng)式,C0、C1和C2的輸入是由異或運(yùn)
    的頭像 發(fā)表于 11-12 09:53 ?4845次閱讀
    <b class='flag-5'>CRC</b>校驗(yàn)碼的多種Verilog實(shí)現(xiàn)方式

    MM32F0140學(xué)習(xí)筆記——CRC

    MM32F0140學(xué)習(xí)筆記——CRC
    的頭像 發(fā)表于 11-10 18:27 ?540次閱讀
    MM32F0140學(xué)習(xí)筆記——<b class='flag-5'>CRC</b>