0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線(xiàn)課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Systemverilog中的union

芯片驗(yàn)證工程師 ? 來(lái)源:芯片驗(yàn)證工程師 ? 作者:芯片驗(yàn)證工程師 ? 2022-11-09 09:41 ? 次閱讀

SystemVerilog union允許單個(gè)存儲(chǔ)空間以不同的數(shù)據(jù)類(lèi)型存在,所以u(píng)nion雖然看起來(lái)和struct一樣包含了很多個(gè)成員,實(shí)際上物理上共享相同的存儲(chǔ)區(qū)域。

結(jié)構(gòu)體占用的內(nèi)存空間是其中所有成員的存儲(chǔ)空間之和,而聯(lián)合體所占用的存儲(chǔ)空間是等于其中所有成員中最大的存儲(chǔ)空間。

union {
 int a;
 byte b;
 bit [15:0] c;
 } data;

在上面的例子中,由于占用最大存儲(chǔ)空間的成員是int a,所以這個(gè)聯(lián)合體的存儲(chǔ)空間是32bit,示意圖如下

22029e64-5f80-11ed-8abf-dac502259ad0.png

而對(duì)于結(jié)構(gòu)體

struct {
 int a;
 byte b;
 bit [15:0] c;
 } data;

所占用的存儲(chǔ)空間是所有成員之和。示意圖如下

222884d0-5f80-11ed-8abf-dac502259ad0.png

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1335

    瀏覽量

    109853
  • System
    +關(guān)注

    關(guān)注

    0

    文章

    164

    瀏覽量

    36803
  • 結(jié)構(gòu)體
    +關(guān)注

    關(guān)注

    1

    文章

    127

    瀏覽量

    10812
  • union
    +關(guān)注

    關(guān)注

    0

    文章

    10

    瀏覽量

    4236

原文標(biāo)題:Systemverilog中的union

文章出處:【微信號(hào):芯片驗(yàn)證工程師,微信公眾號(hào):芯片驗(yàn)證工程師】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    SystemVerilog的Virtual Methods

    SystemVerilog多態(tài)能夠工作的前提是父類(lèi)的方法被聲明為virtual的。
    發(fā)表于 11-28 11:12 ?653次閱讀

    SystemVerilog的“const”類(lèi)屬性

    SystemVerilog可以將類(lèi)屬性聲明為常量,即“只讀”。目的就是希望,別人可以讀但是不能修改它的值。
    發(fā)表于 11-29 10:25 ?2043次閱讀

    SystemVerilog的聯(lián)合(union)介紹

    SystemVerilog ,聯(lián)合只是信號(hào),可通過(guò)不同名稱(chēng)和縱橫比來(lái)加以引用。
    的頭像 發(fā)表于 10-08 15:45 ?1187次閱讀
    <b class='flag-5'>SystemVerilog</b><b class='flag-5'>中</b>的聯(lián)合(<b class='flag-5'>union</b>)介紹

    請(qǐng)問(wèn)UNIONUNION ALL的區(qū)別是什么?

    UNIONUNION ALL的區(qū)別
    發(fā)表于 11-17 07:25

    union 的概念及在嵌入式編程的應(yīng)用

    union 在中文的叫法又被稱(chēng)為共用體,聯(lián)合或者聯(lián)合體,它定義的方式與 struct 是相同的,但是意義卻與 struct 完全不同,下面是 union ...
    發(fā)表于 02-07 11:30 ?0次下載
    <b class='flag-5'>union</b> 的概念及在嵌入式編程<b class='flag-5'>中</b>的應(yīng)用

    SystemVerilog$cast的應(yīng)用

    SystemVerilog casting意味著將一種數(shù)據(jù)類(lèi)型轉(zhuǎn)換為另一種數(shù)據(jù)類(lèi)型。在將一個(gè)變量賦值給另一個(gè)變量時(shí),SystemVerilog要求這兩個(gè)變量具有相同的數(shù)據(jù)類(lèi)型。
    的頭像 發(fā)表于 10-17 14:35 ?2699次閱讀

    SystemVerilog可以嵌套的數(shù)據(jù)結(jié)構(gòu)

    SystemVerilog除了數(shù)組、隊(duì)列和關(guān)聯(lián)數(shù)組等數(shù)據(jù)結(jié)構(gòu),這些數(shù)據(jù)結(jié)構(gòu)還可以嵌套。
    的頭像 發(fā)表于 11-03 09:59 ?1513次閱讀

    SystemVerilog的struct

    SystemVerilog“struct”表示相同或不同數(shù)據(jù)類(lèi)型的集合。
    的頭像 發(fā)表于 11-07 10:18 ?2326次閱讀

    SystemVerilog的tagged Unions是什么

    tagged union包含一個(gè)隱式成員,該成員存儲(chǔ)tag,也就是標(biāo)記,它表示這個(gè)union最終存儲(chǔ)的到底是哪一個(gè)成員。
    的頭像 發(fā)表于 11-10 10:02 ?1378次閱讀

    SystemVerilog的Unpacked Unions

    unpacked union各個(gè)成員的大小可以是不同的。
    的頭像 發(fā)表于 11-11 09:33 ?591次閱讀

    SystemVerilog的Packed Union

    packed union相比unpacked union最大的一個(gè)區(qū)別就是,在packed union,所有成員的大小必須相同,這就保證了不管un
    的頭像 發(fā)表于 11-12 09:05 ?1035次閱讀

    SystemVerilog的Shallow Copy

    SystemVerilog的句柄賦值和對(duì)象復(fù)制的概念是有區(qū)別的。
    的頭像 發(fā)表于 11-21 10:32 ?825次閱讀

    SystemVerilog的Semaphores

    SystemVerilogSemaphore(旗語(yǔ))是一個(gè)多個(gè)進(jìn)程之間同步的機(jī)制之一,這里需要同步的原因是這多個(gè)進(jìn)程共享某些資源。
    的頭像 發(fā)表于 12-12 09:50 ?3223次閱讀

    Systemverilog的Driving Strength講解

    systemverilog,net用于對(duì)電路連線(xiàn)進(jìn)行建模,driving strength(驅(qū)動(dòng)強(qiáng)度)可以讓net變量值的建模更加精確。
    的頭像 發(fā)表于 06-14 15:50 ?1399次閱讀
    <b class='flag-5'>Systemverilog</b><b class='flag-5'>中</b>的Driving Strength講解

    unionunion all有什么區(qū)別

    UnionUnion All是SQL的兩個(gè)關(guān)鍵字,它們用于將兩個(gè)或多個(gè)SELECT語(yǔ)句的結(jié)果集合并在一起。這兩個(gè)關(guān)鍵字雖然有相似的功能,但在實(shí)際使用中有一些重要的區(qū)別。下面將詳細(xì)介紹Uni
    的頭像 發(fā)表于 12-06 10:22 ?1010次閱讀