0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

IC設(shè)計流程概述

電路和微電子考研 ? 來源:電路和微電子考研 ? 作者:電路和微電子考研 ? 2022-11-10 16:25 ? 次閱讀

面試時被問到了對IC設(shè)計流程的理解,隱約覺得和FPGA的整套流程有點像,但確實沒接觸過,在此總結(jié)一下。

芯片開發(fā)流程如下圖所示,芯片設(shè)計被分為兩個階段:前端設(shè)計和后端設(shè)計階段。

6df33e60-60c9-11ed-8abf-dac502259ad0.png

前端設(shè)計

1.1 市場需求分折文件

(Market Rcouirement Document,MRD)

芯片開發(fā)的第一項工作,是一個市場調(diào)研報告,說明了潛在市場規(guī)模和可獲取市場規(guī)模。

客戶向芯片設(shè)計公司(稱為Fabless,無晶圓設(shè)計公司)提出的設(shè)計要求,包括芯片需要達(dá)到的具體功能和性能方面的要求;

1.2 架構(gòu)文件

這是一個關(guān)于系統(tǒng)構(gòu)成和芯片架構(gòu)的高層次描達(dá)文件,涉及芯片的高層次操作、引腳分配與定義、軟件編程模型、可測性、寄存器定義以及應(yīng)用模型等。

1.3 微架構(gòu)文件

它包括芯片內(nèi)部操作的細(xì)節(jié)、時鐘和復(fù)位方案、主要模塊的功能描述、典型數(shù)據(jù)路徑描述、緩沖區(qū)需求分析、吞吐率和延遲分析、中斷和功率管理等問題。

這是多個設(shè)計者在采用高級語言( Verilog或VHDL)進(jìn)行設(shè)計時所依照的藍(lán)圖。

1.4 RTL設(shè)計

芯片被劃分成多個塊,每個塊又被劃分成多個模塊。多個設(shè)計者使用Verilog 或VHDL共同承擔(dān)設(shè)計工作。使用Lint和其他結(jié)構(gòu)工具以保證所有的設(shè)計遵循共同的基本設(shè)計指導(dǎo)原則。

Lint工具用于檢查RTL代碼錯誤,其檢查的范用從基本的矢量寬度不匹配到時鐘交叉和同步問題。使用一個好的代碼分析工具對RTL代碼進(jìn)行檢查以便在早期就發(fā)現(xiàn)設(shè)計和代碼中的錯誤是非常有益的。

常見的商用代碼分析工具有:Atrenta的Spyglass、Synopsys的Leda、Cadence的Surelint、Springsoft的nLint和eritools的HDLint等。

1.5 驗證

1.5.1 功能驗證

在RTL設(shè)計完成后,需要對其進(jìn)行功能驗證。

它需要一個testbench驗證環(huán)境,基于此環(huán)境可以生成測試激勵并進(jìn)行設(shè)計驗證。

System Verilog, OVM/UVM是目前最新的驗證語言和驗證方法,可以進(jìn)行受約束的隨機(jī)化驗證。

通常需要一個高層次的,對測試場景進(jìn)行描述的文件。

仿真工具用于通過各種測試用例對設(shè)計進(jìn)行仿真。每一種測試用例都會針對芯片的某些功能進(jìn)行測試。仿真工具記錄所有內(nèi)部信號在每個時鐘周期的狀態(tài)值,這對于發(fā)現(xiàn)設(shè)計錯誤的內(nèi)部細(xì)節(jié)非常重要。商用的仿真工具有Silvaco的SILos、Mentor的Modelsim和Questa、Cadence的nCSim、Synopsys的VCS等。

1.5.2 模擬

芯片設(shè)計時,經(jīng)常會使用FPGA進(jìn)行系統(tǒng)模擬驗證。FPGA與芯片類似,都使用綜合后的網(wǎng)表實現(xiàn)所需要的功能,但FPGA更為靈活。FPGA最初是一塊空白的芯片,用戶的設(shè)計經(jīng)過綜合后得到比特文件,燒錄到FPGA中之后可以實現(xiàn)與芯片相同的功能。FPGA可以反復(fù)燒錄,易于進(jìn)行設(shè)計修改。

使用FPGA實現(xiàn)芯片功能,在系統(tǒng)中進(jìn)行實際驗證,有助于從系統(tǒng)級對芯片的功能進(jìn)行實際驗證,可以先期就開發(fā)軟件和驅(qū)動程序,這些都有助于在流片之前發(fā)現(xiàn)隱藏較深的設(shè)計缺陷。

目前,F(xiàn)PGA模擬已經(jīng)成為芯片開發(fā)流程中的一個標(biāo)準(zhǔn)環(huán)節(jié)。

后端設(shè)計

2.1 綜合

綜合是使用軟件工具將RTL代碼(Verilog或VHDL)轉(zhuǎn)換為邏輯門(與門、或門和觸發(fā)器等)的過程。綜合工具可以按照某些原則,如最小面積或最佳定時特性,生成綜合后的網(wǎng)表。

在開始綜合之前,我們需要編寫綜合約束文件。綜合約束文件中需要說明的一些重要內(nèi)容包括以下幾點:

時鐘頻率:這是綜合工具需要知道的最重要的信息,它決定了滿足定時要求的情況下兩個觸發(fā)器之間可以有多少級邏輯電路。

優(yōu)化目標(biāo):最小面積或最佳定時特性。如果工作頻率較低,定時不存在問題,那么我們可以將綜合過程的優(yōu)化目標(biāo)確定為最小面積;如果定時要求苛刻,那么綜合的優(yōu)化目標(biāo)應(yīng)該為最佳定時特性,此時綜合工具可能會將某些電路設(shè)計為并行或流水線結(jié)構(gòu),這會增大芯片面積,但定時特性會更好。

輸出延遲和輸入延遲:當(dāng)一個模塊的輸出與另一個模塊的輸人相連接時,我們需要對輸出引腳的輸出延遲和輸人引腳的輸入延遲進(jìn)行描述。

展平(fattening)與保持層次(keeping hierarchy):當(dāng)保持層次時,RTL代碼在模塊級進(jìn)行綜合,其輸入輸出引腳被保留。當(dāng)設(shè)計被展平后,綜合時不考慮模塊之間的邊界。

一些常用的綜合工具有,

ASIC:Synopsys的DC(Design Compiler)、Cadence(RTL Compiler)和Magma的Talus等。

FPGA:Mentor的Precision、Synopsys的Synplify family、Xilinx的XST、AlteraQuartus、Magma的BlastFPGA等。

2.2 STA

STA ( Statie Timing Analysis., 靜態(tài)定時分析)是一種用于發(fā)現(xiàn)芯片在綜合或布局布線之后的邏輯是否滿足定時要求的方法。RTL代碼綜合和布局在線之后,就可以將邏輯門及邏輯門之間互聯(lián)信導(dǎo)線的延遲參數(shù)提取出來,這一過程稱為延遲提取。在同步設(shè)計中,信號從一個觸發(fā)器的輸出、經(jīng)過多個邏輯門之后進(jìn)入另一個觸發(fā)器的輸入端。

STA工具可以計算出從一個觸發(fā)器的輸出到另一個觸發(fā)器入之間的最大延遲,最大延遲值應(yīng)小于1個時鐘周期,這樣才能保證輸出的信號在本周期內(nèi)到達(dá)下一個觸發(fā)器,并且不會出現(xiàn)建立時間不滿足要求的問題。STA工具還會計算從一個觸發(fā)器的輸出到另一個觸發(fā)器輸入之間的最小延遲,最小延遲用于確保延遲值大于觸發(fā)器所需要的保持時間。

常見的STA工具有,Synopsys Prime Time、Cadence CTE(Common Timing Engine)、Mentor SST Velocity、Magma等。

2.3 門級仿真

門級仿真是在包含定時信息的情況下檢查芯片功能是否正確。

此時芯片內(nèi)部的所有延遲都放標(biāo)注出來,因此所有內(nèi)部節(jié)點和邏輯門的邏輯值變化都包含了實際的延遲。這反映了真實芯片的操作行為。

2.4 布局布線(layout)

在這一階段,layout 工具將綜合后的網(wǎng)表讀入,所有邏輯門都以晶體管和其他基本元件的方式出現(xiàn)。

有些芯片的layout是由布局布線工具自動完成的。

有些高頻設(shè)計需要以手工的方式進(jìn)行布局布線。

2.5 提交設(shè)計數(shù)據(jù)(tape-out)

芯片布局布線后,可以提取出精確的定時信息并反饋給STA工具進(jìn)行精確的定時特性檢查。此后還需要進(jìn)行設(shè)計規(guī)則檢查(Design Rule Check,DRC)。這些工作都完成后,就可以將設(shè)計數(shù)據(jù)提交給芯片制造廠了。早期進(jìn)行芯片設(shè)計時,都是以磁帶來存儲芯片設(shè)計數(shù)據(jù)的,因此稱為tape-out。目前多以電子文檔的方式提交數(shù)據(jù),已經(jīng)不使用磁帶了,但這一稱呼沿用至今。

系統(tǒng)實現(xiàn)

3.1 實驗室測試

芯片的工程樣片需要安裝在預(yù)先設(shè)計好的評估板上進(jìn)行實際應(yīng)用測試。

第一次所投的芯片被稱為工程樣片,目的是發(fā)現(xiàn)芯片在實際應(yīng)用時存在的問題并解決這些問題。

第二次所投芯片如果經(jīng)過全面測試后沒有發(fā)現(xiàn)任何問題,那么這一批芯片就可以作為正式的產(chǎn)品。

有些博客在前后端劃分有不一樣,有的前端將綜合和STA包括進(jìn)前端設(shè)計中。

因此其前端包括:規(guī)格制定、詳細(xì)設(shè)計、HDL編碼、仿真驗證、邏輯綜合、STA和形式驗證,前端設(shè)計的結(jié)果就是得到了芯片的門級網(wǎng)表電路;

后端劃分更細(xì),包括:

DFT:Design For Test,可測性設(shè)計。DFT的常見方法就是,在設(shè)計中插入掃描鏈,將非掃描單元(如寄存器)變?yōu)閽呙鑶卧?/p>

DFT工具有Synopsys的DFT Compiler。

布局規(guī)劃(FloorPlan):布局規(guī)劃就是放置芯片的宏單元模塊,在總體上確定各種功能電路的擺放位置,如IP模塊,RAM,I/O引腳等等;布局規(guī)劃能直接影響芯片最終的面積。

工具為Synopsys的Astro。

CTS:Clock Tree Synthesis,時鐘樹綜合,時鐘的布線;由于時鐘信號在數(shù)字芯片的全局指揮作用,它的分布應(yīng)該是對稱式的連到各個寄存器單元,從而使時鐘從同一個時鐘源到達(dá)各個寄存器時,時鐘延遲差異最小,這也是為什么時鐘信號需要單獨(dú)布線的原因。

CTS工具有Synopsys的Physical Compiler。

布線(Place & Route):普通信號布線,包括各種標(biāo)準(zhǔn)單元(基本邏輯門電路)之間的走線;比如我們平常聽到的0.13um工藝,或者說90nm工藝,實際上就是這里金屬布線可以達(dá)到的最小寬度,從微觀上看就是MOS管的溝道長度。

寄生參數(shù)提?。河捎趯?dǎo)線本身存在的電阻,相鄰導(dǎo)線之間的互感,耦合電容在芯片內(nèi)部會產(chǎn)生信號噪聲,串?dāng)_和反射;這些效應(yīng)會產(chǎn)生信號完整性問題,導(dǎo)致信號電壓波動和變化,如果嚴(yán)重就會導(dǎo)致信號失真錯誤;提取寄生參數(shù)進(jìn)行再次的分析驗證,分析信號完整性問題是非常重要的。

工具Synopsys的Star-RCXT。

版圖物理驗證:對完成布線的物理版圖進(jìn)行功能和時序上的驗證,驗證項目很多,如LVS(Layout Vs Schematic)驗證,簡單說,就是版圖與邏輯綜合后的門級電路圖的對比驗證;DRC(Design Rule Checking),設(shè)計規(guī)則檢查,檢查連線間距,連線寬度等是否滿足工藝要求;ERC(Electrical Rule Checking),電氣規(guī)則檢查,檢查短路和開路等電氣 規(guī)則違例。

工具為Synopsys的Hercules。

實際的后端流程還包括電路功耗分析,以及隨著制造工藝不斷進(jìn)步產(chǎn)生的DFM可制造性設(shè)計)問題。

物理版圖以GDS II的文件格式交給芯片代工廠(Foundry)在晶圓硅片上做出實際的電路,再進(jìn)行封裝和測試,就得到了實際看見的芯片。

【END】

審核編輯 :李倩

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 芯片
    +關(guān)注

    關(guān)注

    452

    文章

    49984

    瀏覽量

    419649
  • IC設(shè)計
    +關(guān)注

    關(guān)注

    37

    文章

    1289

    瀏覽量

    103614

原文標(biāo)題:【科普】IC設(shè)計流程概述

文章出處:【微信號:feifeijiehaha,微信公眾號:電路和微電子考研】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    TI電池監(jiān)控器IC的高級電量監(jiān)測器固件流程

    電子發(fā)燒友網(wǎng)站提供《TI電池監(jiān)控器IC的高級電量監(jiān)測器固件流程圖.pdf》資料免費(fèi)下載
    發(fā)表于 10-12 10:07 ?0次下載
    TI電池監(jiān)控器<b class='flag-5'>IC</b>的高級電量監(jiān)測器固件<b class='flag-5'>流程</b>圖

    【「數(shù)字IC設(shè)計入門」閱讀體驗】+ 數(shù)字IC設(shè)計流程

    上一篇對《數(shù)字IC設(shè)計入門》進(jìn)行了整書瀏覽,今天開始研讀第1章“IC設(shè)計行業(yè)概述”。這章節(jié)還沒有涉及IC設(shè)計的理論知識,因此閱讀起來還是比較輕松的,作者的目的可能是希望讀者先了解
    發(fā)表于 09-25 15:51

    電源管理IC U6217產(chǎn)品概述

    2024年預(yù)計家電市場的全年零售規(guī)模將同比增長0.7%,顯示出回暖的跡象。在家電領(lǐng)域,電源管理ic展現(xiàn)出了穩(wěn)健復(fù)蘇的趨勢。因此,電源管理ic廠商應(yīng)該抓住這個好機(jī)會,向家電廠商提供高質(zhì)量的產(chǎn)品。
    的頭像 發(fā)表于 09-12 10:05 ?176次閱讀

    MT6816磁編碼IC在自動縮口機(jī)中的應(yīng)用

    MT6816磁編碼IC在自動縮口機(jī)中的應(yīng)用,探討其如何提升設(shè)備性能,優(yōu)化生產(chǎn)流程。 一、MT6816磁編碼IC概述 MT6816是一款高性能的磁編碼
    的頭像 發(fā)表于 07-23 16:07 ?305次閱讀

    MT6501磁編碼IC在PACK產(chǎn)線中的應(yīng)用

    在現(xiàn)代工業(yè)自動化和智能化生產(chǎn)的浪潮中,精確的數(shù)據(jù)采集和高效的生產(chǎn)流程控制是提升產(chǎn)品質(zhì)量、降低成本的關(guān)鍵。MT6501磁編碼IC作為一種高精度、高可靠性的傳感器設(shè)備,在PACK產(chǎn)線中發(fā)揮著不可替代
    的頭像 發(fā)表于 07-23 15:49 ?201次閱讀
    MT6501磁編碼<b class='flag-5'>IC</b>在PACK產(chǎn)線中的應(yīng)用

    LED驅(qū)動電源IC U6773S概述和主要特性

    LED驅(qū)動電源IC通常集成了電流調(diào)節(jié)、電壓調(diào)節(jié)、溫度保護(hù)等功能,以確保LED的穩(wěn)定工作和延長LED的壽命,市場對于高效能、高可靠性的LED驅(qū)動電源IC的需求日益增加。深圳銀聯(lián)寶科技的LED驅(qū)動電源IC U6773S是一款高性能的
    的頭像 發(fā)表于 07-02 15:34 ?338次閱讀

    自動化IC封裝模擬分析工作流程

    IC封裝制程的制程模擬中,為了同時提升工作效率與質(zhì)量,CAE團(tuán)隊常會面臨到許多挑戰(zhàn)。在一般的CAE分析流程中,仿真分析產(chǎn)生結(jié)構(gòu)性網(wǎng)格,是非常繁瑣且相當(dāng)花時間的。必須要先匯入2D(或3D)圖檔,接著
    的頭像 發(fā)表于 06-26 08:35 ?222次閱讀
    自動化<b class='flag-5'>IC</b>封裝模擬分析工作<b class='flag-5'>流程</b>

    處理變頻器IC短路情況

    變頻器作為現(xiàn)代工業(yè)控制系統(tǒng)中不可或缺的一部分,其穩(wěn)定運(yùn)行對于整個生產(chǎn)流程具有至關(guān)重要的作用。然而,在實際應(yīng)用中,變頻器IC短路問題時有發(fā)生,這不僅可能導(dǎo)致設(shè)備損壞,還可能引發(fā)更嚴(yán)重的安全事故。因此
    的頭像 發(fā)表于 06-11 11:50 ?486次閱讀

    什么是燒錄?怎么判別IC是否燒錄過

     IC燒錄的基本流程,有時候我們會買來新料,新料一般是空白的可以跳過清除這道程序。
    的頭像 發(fā)表于 02-02 14:14 ?4721次閱讀

    芯科科技發(fā)布新版藍(lán)牙開發(fā)流程

    查看Silicon Labs(亦稱“芯科科技”)近日發(fā)布新版的藍(lán)牙開發(fā)流程(Bluetooth Developer Journey),了解更多關(guān)于低功耗藍(lán)牙、藍(lán)牙Mesh、藍(lán)牙定位服務(wù),以及電子貨架標(biāo)簽(ESL)等設(shè)計方法,我們將概述相關(guān)應(yīng)用開發(fā)
    的頭像 發(fā)表于 01-25 10:09 ?644次閱讀
    芯科科技發(fā)布新版藍(lán)牙開發(fā)<b class='flag-5'>流程</b>

    數(shù)字IC與模擬IC的架構(gòu)差異

    如今的芯片大多數(shù)都同時具有數(shù)字模塊和模擬模塊,因此芯片到底歸屬為哪類產(chǎn)品是沒有絕對標(biāo)準(zhǔn)的,通常會根據(jù)芯片的核心功能來區(qū)分。在數(shù)?;旌闲酒膶嶋H工作中,數(shù)字IC與模擬IC工程師也是遵照各自的流程分別開展工作。
    發(fā)表于 12-20 11:29 ?642次閱讀
    數(shù)字<b class='flag-5'>IC</b>與模擬<b class='flag-5'>IC</b>的架構(gòu)差異

    15W適配器電源IC U6203D概述

    選購適配器電源IC和電池充電器IC時,應(yīng)考慮品牌及生產(chǎn)商的規(guī)模、信譽(yù)、售后服務(wù)。具備正規(guī)資質(zhì)、品質(zhì)優(yōu)良且有較好的售后服務(wù)
    的頭像 發(fā)表于 12-15 16:42 ?636次閱讀

    3D-IC 設(shè)計之 Memory-on-Logic 堆疊實現(xiàn)流程

    3D-IC 設(shè)計之 Memory-on-Logic 堆疊實現(xiàn)流程
    的頭像 發(fā)表于 12-01 16:53 ?632次閱讀
    3D-<b class='flag-5'>IC</b> 設(shè)計之 Memory-on-Logic 堆疊實現(xiàn)<b class='flag-5'>流程</b>

    易科IC公益講座:半導(dǎo)體行業(yè)數(shù)字化轉(zhuǎn)型系統(tǒng)規(guī)劃概述

    上海2023年11月6日?/美通社/ -- 11月2日,由IC咖啡、張江高科、Exac易科軟件"半導(dǎo)體行業(yè)數(shù)字化轉(zhuǎn)型系統(tǒng)規(guī)劃概述"如約開講。本次講座榮幸邀請到易科軟件半導(dǎo)體行業(yè)
    的頭像 發(fā)表于 11-07 09:15 ?291次閱讀
    易科<b class='flag-5'>IC</b>公益講座:半導(dǎo)體行業(yè)數(shù)字化轉(zhuǎn)型系統(tǒng)規(guī)劃<b class='flag-5'>概述</b>

    英諾達(dá)發(fā)布RTL級功耗分析工具助推IC高能效設(shè)計

    英諾達(dá)發(fā)布了自主研發(fā)的EnFortius?凝鋒?RTL級功耗分析工具,可以在IC設(shè)計流程早期對電路設(shè)計進(jìn)行優(yōu)化。
    的頭像 發(fā)表于 11-01 10:28 ?643次閱讀