0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

FPGA的過去與將來

FPGA設計論壇 ? 來源:未知 ? 2022-11-14 12:25 ? 次閱讀
FPGA 自上世紀 80 年代進入市場以來,就與通用 CPU、ASIC 乃至 GPU 競爭共存。FPGA 的低功耗、可編程規(guī)格適中等特性,使其在市場中占據(jù)一席之地。本文分析了通信、HPC、數(shù)據(jù)中心等多個領域的現(xiàn)狀,對市場、價格和競品對比等方面進行了概要分析,并預測了 FPGA 未來的一些發(fā)展方向,對了解 FPGA 提供了很好的參考。

FPGA(現(xiàn)場可編程門陣列,F(xiàn)ield-Programmable Gate Arrays) 自誕生以來,就與 ASIC 社區(qū)糾纏不清。上世紀 80 年代中期,Ross Freeman 及其同事從 Zilog 處購買了該項技術,初創(chuàng)了面向 ASIC 仿真和教育市場的 Xilinx 公司。Zilog 來自于??松梨谑凸?,其創(chuàng)立源自于上世紀 70 年代人們對石油將在 30 年內耗盡的擔憂——盡管時至今日這一說法依然大行其道。幾乎與此同時,以類似技術為核心的 Altera 成立。
FPGA 是支持電路編程的芯片,實現(xiàn)對該電路的“仿真”。對于 ASIC 中的實現(xiàn),這種仿真的運行性能要慢于實際的電路。它的時鐘頻率更低,耗能更高,但可以每幾百毫秒重新編程一次。
FPGA 用于在 ASIC 制造商做光罩并提交工廠制造前仿真 ASIC。Intel、AMD 等企業(yè)在芯片生產(chǎn)前,使用 FPGA 仿真芯片。
電信領域的爭奪
FPGA 一直在電信行業(yè)大量使用。由于電信標準的不斷變化增加了電信設備的制造難度,因此能率先給出電信解決方案的企業(yè)往往會占領最大的市場份額。ASIC 的制造周期很長,而 FPGA 提供了一種快捷方式。電信設備開始在初期版本上采用 FPGA,這引發(fā)了 FPGA 價格的波動。盡管 ASIC 仿真市場并不受 FPGA 價格的影響,但芯片的價格對電信企業(yè)卻至關重要。多年前,AT&T 和朗訊制造了自己的 FPGA,稱為 ORCA(優(yōu)化的可重配置單元陣列,optimized reconfigurable cell arrays)。但與 Xilinx 或 Altera 相比,它們在硅片的速度和規(guī)格上并不具有競爭優(yōu)勢。
如今,華為已成為 FPGA 的最大客戶。美國制造的 FPGA 可能正是中美之間最近的緊張關系的導火索。這些芯片令華為在 5G 電信設備交付上占據(jù)優(yōu)勢,領先世界上其他任何準備參與競爭的供應商達兩年。
FPGA 價格之爭
FPGA 很早就用于 SDR(軟件無線電,software-defined radios)。SDR 技術可同時支持多種通信標準的無線電,類似于一部可講多種語言的電話。這一次 FPGA 遇上了麻煩,因為 SDR 技術走上了兩條不同的采用道路。一方面,商業(yè)供應商基于成本效益考慮開發(fā)了很多解決方案,并在當前地球上所有的基站都部署了 SDR 技術。另一方面,在國防領域,大型國防承包商是為了保護有利可圖的傳統(tǒng)產(chǎn)品線而構建 SDR 的。這導致基于 FPGA 的無線電產(chǎn)品的價格居高不下,以至美國的部分國防市場一直抵制它們的應用。
下一步,F(xiàn)PGA 試圖進入 DSP嵌入式市場發(fā)展,開始推出部分使用硬核微處理器的 FPGA。但銷售這些新型 FPGA 的壓力很大,以至于如果客戶拒絕這一新系列的芯片,就會被芯片廠商列入黑名單,有時甚至會拒絕提供服務數(shù)月。鑒于 FPGA 企業(yè)攻克新市場頻頻失敗,F(xiàn)PGA 市場的增長壓力依然巨大。因為 FPGA 的芯片面積巨大,涉及的知識產(chǎn)權眾多,所以 FPGA 產(chǎn)品難以降低價格。
在 HPC 和數(shù)據(jù)中心領域碰壁
在過去數(shù)年中,F(xiàn)PGA 試圖在 HPC(高性能計算)和數(shù)據(jù)中心市場中發(fā)展。2017 年,微軟宣布在數(shù)據(jù)中心使用 Altera FPGA,而英特爾則收購了 Altera。2018 年,Xilinx 宣布了其“數(shù)據(jù)中心優(yōu)先”戰(zhàn)略。其 CEO 面對廣大分析師時,宣稱 Xilinx 不再是一家單純的 FPGA 企業(yè)。這頗具戲劇化,但這是歷史必然。
在 HPC 和數(shù)據(jù)中心使用 FPGA,主要障礙在于布局布線(place & route),即運行 FPGA 供應商特定軟件將電路映射(mapping)為 FPGA 元件所耗費的時間。針對大型 FPGA,使用快速的 CPU 服務器,布局布線耗時可能多至三天。并且在很多情況下,三天之后軟件依然無法找到映射。
在石油天然氣領域碰壁
2007 年前后,石油和天然氣領域的應用形成了利基市場。在傳統(tǒng)計算機上模擬鉆探地球發(fā)現(xiàn)石油所花費的時間,比現(xiàn)場實際施工和鉆探的時間還要長。使用 FPGA 加速器,極大地改變了這種耗時顛倒的情況。首個用于計算地震圖像的石油企業(yè)數(shù)據(jù)中心的 FPGA,是由 Maxeler Technologies 制造并交付給 Chevron 的。
FPGA 在油氣領域的應用經(jīng)過了數(shù)年擴展,直到來自 ASIC 產(chǎn)業(yè)的壓力,才讓標準的 CPU 技術重新回歸。當下,預測和仿真在油氣領域依然重要,地震成像大多使用 CPU 和 GPU 完成,不過 FPGA 依然占據(jù)了一席之地。我們知道,“當前的新事物,會成為明日黃花”。當然,人工智能和對數(shù)據(jù)的關注是當前的新事物。
盡管如此,F(xiàn)PGA 依然是一種進入市場的快捷方式、獲取競爭優(yōu)勢的簡單方法,以及許多關鍵任務中必不可少的技術。FPGA 的每個芯片價格要比 ASIC 昂貴,但是對 HPC 和數(shù)據(jù)中心而言,相比 CPU 和 GPU 而言需要的 FPGA 芯片更少,制冷開銷更低,因此 FPGA 的運行費用要顯著低于在 CPU 或 GPU 上運行軟件。FPGA 使得數(shù)據(jù)中心規(guī)模更小,這會使運營商感到不安,因為他們擔心自己的數(shù)據(jù)中心可能會縮水。
ASIC vs. FPGA
FPGA 的另一用途,是作為 ASIC 的補充。構建 ASIC 的目的在于實現(xiàn)固定的功能,添加 FPGA 則可為產(chǎn)品的最新更改以及適應不同的市場提供一定的靈活性。
現(xiàn)代 FPGA 集成了越來越多的硬核功能,變得越來越像是 ASIC。而 ASIC 也時常會在設計中添加一些 FPGA 結構,以便于調試、測試、現(xiàn)場修復,以及增加添補小功能的靈活性。
但 ASIC 團隊卻一直在與 FPGA 概念做抗爭。ASIC 設計師詢問“用戶需要什么功能?”,并在得到“我也不確定”的回答后會失去耐心。
無人駕駛汽車行業(yè)就是這樣的一個新戰(zhàn)場。由于算法的不斷變化,并且法律法規(guī)可能會在汽車入場時發(fā)生變化,需要不斷對駕駛技術做相應調整,這需要靈活可變的解決方案。FPGA 的時鐘頻率更低、散熱片較小,物理尺寸小于 CPU 和 GPU。更低的功耗和更小的尺寸,使 FPGA 成為顯而易見的選擇。盡管如此,GPU 更易于編程,并且不需要耗時三天的布局布線。
另一個至關重要的考慮是,出于模擬和測試等方面的考慮,需要在汽車上和云中運行相同的代碼。這樣需要 FPGA 必須在云中可用,然后才能在汽車中使用。由于上述問題,許多開發(fā)人員更喜歡選擇 GPU。
FPGA 的演進
FPGA 正處于不斷發(fā)展中?,F(xiàn)代接口正使 FPGA 更易于編程,更為模塊化,更易于與其他技術協(xié)作。FPGA 支持 AXI(高級可擴展接口,Advanced Extensible Interface)總線,使其更易于編程,但也會引入很多嚴重的效率損失,結果降低了 FPGA 的性能,最終導致其競爭力下降。一些學術工作提出了解決布線問題的研究,例如 Eric Chung 的關于 FPGA 動態(tài)網(wǎng)絡的論文,但是這些先進的理念尚未為產(chǎn)業(yè)界所接受。
FPGA 是如何連接的?對于具有大量數(shù)據(jù)流的 HPC 工作負載,可以使用 PCI Express,并部署通信隱藏技術。但是像 NFV(網(wǎng)絡功能虛擬化,network function virtualization)這樣的小規(guī)模但卻能同時為大量用戶提供服務的工作負載呢?VMware 最近的調查結果指出,對于 NFV 和虛擬機加速,F(xiàn)PGA 必須直接連接到 CPU,并使用緩存一致性作為通信機制。
當然,一個關鍵的特性是 FPGA 的崩潰不會導致 CPU 崩潰,反之亦然。大型技術企業(yè)正在重新審視 IBM 大型機時代的需求,意圖使用標準化平臺涵蓋越來越多的復雜性。
在大眾化的企業(yè)市場也存在著機會。在提供 FPGA 平臺時,企業(yè)即便沒有進行 ASIC 開發(fā)的預算,也不了解最新的硅制造挑戰(zhàn)和解決方案,也可以去開發(fā)電路,并在其產(chǎn)品中建立競爭優(yōu)勢。例如新興的物聯(lián)網(wǎng)IoT)邊緣計算,實現(xiàn)在近傳感器、顯示器端甚至在數(shù)據(jù)流經(jīng)過時進行計算。
同時,F(xiàn)PGA 企業(yè)正將技術棧上推直至 CPU 插槽。英特爾在該市場上占據(jù)主導地位,其掌握了 NFV 特殊指令等技術。在數(shù)據(jù)中心中添加新 CPU 和 FPGA 的主要障礙不僅是速度和成本,還在于所有可能的 I/O 設備的軟件和驅動程序是否可用。
在數(shù)據(jù)中心中推行 FPGA 的關鍵是易用性。例如,使用自動工具去驅動 FPGA 的應用,避免產(chǎn)生布局布線上的難題。微軟率先在大型數(shù)據(jù)中心中使用 FPGA 來加速 Bing、NFV 和人工智能算法,此外還構建了抽象、領域特定語言和靈活的硬件基礎結構。在商業(yè)上,F(xiàn)PGA 的主要問題在于入市策略。
構建新的芯片后才去考慮軟件就為時已晚了。如何讓硬件適應軟件而從現(xiàn)有軟件中獲益?這也提供了重新思考 FPGA 架構的機會。但是需要警告的是:硅產(chǎn)業(yè)是個吞金獸。構建 ASIC 是一種賭注多年持續(xù)攀升的撲克游戲。這是一場贏家通吃的比賽,在比賽初期就剔除了 FPGA 的威脅。
FPGA 正在為硅項目帶來額外的不良風險。
利基市場
正如軟件設計師常說,“軟件能完成的事情,就應由軟件實現(xiàn)”。ASIC 設計師會說,“ASIC 能完成的事情,就應由 ASIC 來完成?!弊钣腥さ恼f法是,“如果可以用軟件完成,那么就不必和一切 FPGA 思維的人打交道了?!毕啾?ASIC 的團隊規(guī)模,以及全球范圍內軟件開發(fā)人員的規(guī)模,F(xiàn)PGA 的公司很小,社區(qū)也很小,其中只有一些甚至是古怪的程序員。
英特爾正在推進 FPGA 的靈活性。在遵循“構建硬件以運行現(xiàn)有軟件”這一原則的公司中,英特爾是最成功的一家。
FPGA 性能可能比 CPU 和 GPU 更快,但是來自產(chǎn)業(yè)界和投資界的切實經(jīng)驗教訓是,自計算機出現(xiàn)以來的絕大多數(shù)時間中,速度和實時性并沒那么重要。很少有人僅僅為了高性能而購買計算機。盡管此事時有發(fā)生,卻不能根據(jù)這樣的隨機事件去建立業(yè)務市場。此外,F(xiàn)PGA 缺失標準,沒有開源代碼,也沒有令人愉悅的編程模型。
因此,并沒有標準市場支持可在所有 FPGA 芯片上工作或易于交叉編譯的 FPGA 程序。Maxeler Technologies 具有提供此類接口的高級解決方案,但廣泛的行業(yè)采用需要的是信任。信任才能推動技術從早期采用者的玩物發(fā)展到讓所有人受益,但這需要現(xiàn)有數(shù)據(jù)中心領域供應商的推動和支持。
現(xiàn)實中,應用的用戶會說:“我并不在乎具體方法,只要能完成我想要做的事情?!?在尚未廣泛探索的應用領域中,哪些是 FPGA 可一展身手的?對于實時計算,F(xiàn)PGA 可用于工業(yè)界。對于無人機上的計算機視覺,F(xiàn)PGA 在重量和功耗上具有優(yōu)勢。在衛(wèi)星上的硬件升級代價很大,對此 FPGA 提供了至關重要的長期靈活性。FPGA 需要的是休戚與共的產(chǎn)品。此類產(chǎn)品必須易于編程,光是硬件或軟件還不夠,還需要生態(tài)系統(tǒng),需要完整的解決方案。
實時編譯和自動 FPGA 程序生成是拓寬當前市場局限的好方法。說起來容易,但做起來難,但是隨著人工智能對應用空間的突破,越來越多的機會出現(xiàn)了。當前,一切皆可由人工智能完成,甚至油氣領域地震成像等的傳統(tǒng)算法也都采用了人工智能。處理人工智能模塊需要科學和工程上的解決方案。FPGA 可提供一個很好的出發(fā)點,從連接 AI 模塊開始進而整合到 FPGA 架構中。例如,Xilinx 的下一代芯片將人工智能架構、CPU、100G 接口和 FPGA 單元整合到同一個 7 納米芯片中。
從另一個角度來看,隨著人工智能芯片生成并處理大量數(shù)據(jù),需要 FPGA 提供大量輸入并迅速取走輸出。隨著用于人工智能處理的新 ASIC 的面世,F(xiàn)PGA 將在人工智能芯片公司大展身手。預測將出現(xiàn)成功的 CPU+FPGA 服務器芯片,或直接訪問 CPU 緩存層次結構的 FPGA。有人贊同,有人否定。SoC(片上系統(tǒng),system on a chip)FPGA 芯片將不斷發(fā)展壯大,帶動醫(yī)療、下一代電信和汽車等行業(yè)。開發(fā)人員將使用 FPGA 實現(xiàn)神奇的事情,推動世界的進步,但對內部存在 FPGA 的事實必須秘而不宣。FPGA 的名稱將會保留,稱為 FPGA 的芯片也會繼續(xù)出現(xiàn),但是其內部將大相徑庭。一旦我們?yōu)楹喕?FPGA 編程而放棄(數(shù)據(jù)流)優(yōu)化,F(xiàn)PGA 的性能將降低,進而將無法與易于編程的 CPU 競爭。FPGA 將具有動態(tài)布線、不斷發(fā)展的互連,以及運行時靈活的數(shù)據(jù)移動。和 FPGA 之上完整的軟件棧一樣,布局布線軟件將會開源。Yosys 和 Lattice FPGA 已經(jīng)著手于此。所有半導體架構都將組合為融合了 TPU、GPU、CPU、ASIC 和 FPGA 的單個芯片。芯片中可融合所有技術,也可融合部分技術。更多的芯片將聚焦于特定應用空間,只有少部分實現(xiàn)通用用途。從某種意義上說,一切都會成為 SoC。

8bc231e6-63d3-11ed-8abf-dac502259ad0.png

8bce34c8-63d3-11ed-8abf-dac502259ad0.png8bd92f0e-63d3-11ed-8abf-dac502259ad0.jpg

掃描二維碼獲取

更多精彩

8be3835a-63d3-11ed-8abf-dac502259ad0.png

FPGA設計論壇

8bed6668-63d3-11ed-8abf-dac502259ad0.png8bf9c4b2-63d3-11ed-8abf-dac502259ad0.png

歡迎關注至芯科技

至芯官網(wǎng):www.zxopen.com

至芯科技技術論壇:www.fpgaw.com

至芯科技淘寶網(wǎng)址:

https://zxopen.taobao.com

至芯科技FPGA初級課程(B站):

https://space.bilibili.com/521850676

至芯科技FPGA在線課程(騰訊課堂):

https://zxopenbj.ke.qq.com/

至芯科技-FPGA 交流群(QQ):282124839

精彩推薦 至芯科技12年不忘初心、再度起航11月12日北京中心FPGA工程師就業(yè)班開課、線上線下多維教學、歡迎咨詢! fpga究竟在學什么? FPGA職業(yè)生涯的五個層次 掃碼加微信邀請您加入FPGA學習交流群

8c050bb0-63d3-11ed-8abf-dac502259ad0.jpg8c1939b4-63d3-11ed-8abf-dac502259ad0.png

歡迎加入至芯科技FPGA微信學習交流群,這里有一群優(yōu)秀的FPGA工程師、學生、老師、這里FPGA技術交流學習氛圍濃厚、相互分享、相互幫助、叫上小伙伴一起加入吧!

點個在看你最好看


原文標題:FPGA的過去與將來

文章出處:【微信公眾號:FPGA設計論壇】歡迎添加關注!文章轉載請注明出處。


聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1624

    文章

    21568

    瀏覽量

    600561

原文標題:FPGA的過去與將來

文章出處:【微信號:gh_9d70b445f494,微信公眾號:FPGA設計論壇】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    國內芯片行業(yè)的過去、現(xiàn)狀與未來:EVASH Ultra EEPROM的視角

    國內芯片行業(yè)的過去、現(xiàn)狀與未來:EVASH Ultra EEPROM的視角
    的頭像 發(fā)表于 08-12 17:51 ?497次閱讀

    FPGA(ZYNQ AX7Z020)輸出pwm波形振蕩。

    我從FPGA(ZYNQ AX7Z020)輸出一個pwm,波形從示波器觀察如圖所示,一直在振蕩,頻率是對的,之前都是直上直下,突然間就成這樣了。 過去也出現(xiàn)過這種情況,過幾天不知怎的稀里糊涂又恢復了。 有大佬知道這怎么解釋跟解決嗎?做實驗老是被這玩意耽擱了,唉~! 十分感謝
    發(fā)表于 06-12 11:02

    IAR for STM8做freemodbus,怎么都編譯不過去了?

    之前一直使用的是IAR for STM8開發(fā)平臺版本是6.1.6.1880, 可是最近做freemodbus,怎么都編譯不過去了!懷疑版本低還是庫函數(shù)加載的不對呢?
    發(fā)表于 05-11 06:18

    用持續(xù)創(chuàng)新打造空前繁榮的FPGA生態(tài)系統(tǒng)

    過去10年間,FPGA器件實現(xiàn)了出貨量50億片的新紀錄。而未來10年,這一數(shù)字將超過100億片,機器人、工業(yè)網(wǎng)絡互聯(lián)、汽車、通信、數(shù)據(jù)中心等都將成為FPGA最活躍的應用領域。
    的頭像 發(fā)表于 03-26 16:34 ?584次閱讀
    用持續(xù)創(chuàng)新打造空前繁榮的<b class='flag-5'>FPGA</b>生態(tài)系統(tǒng)

    fpga語言是什么?fpga語言與c語言的區(qū)別

    FPGA語言,即現(xiàn)場可編程門陣列編程語言,是用于描述FPGA(Field Programmable Gate Array)內部硬件結構和行為的特定語言。它允許設計師以硬件描述的方式定義FPGA的邏輯
    的頭像 發(fā)表于 03-15 14:50 ?835次閱讀

    fpga軟件是什么意思

    FPGA軟件通常指的是用于編程、配置和管理FPGA(現(xiàn)場可編程門陣列)芯片的工具和應用程序的集合。FPGA是一種可編程的集成電路,它允許用戶通過編程來改變其硬件功能,以滿足各種不同的應用需求。因此,
    的頭像 發(fā)表于 03-15 14:28 ?979次閱讀

    fpga開發(fā)板是什么?fpga開發(fā)板有哪些?

    FPGA開發(fā)板是一種基于FPGA(現(xiàn)場可編程門陣列)技術的開發(fā)平臺,它允許工程師通過編程來定義和配置FPGA芯片上的邏輯電路,以實現(xiàn)各種數(shù)字電路和邏輯功能。FPGA開發(fā)板通常包括
    的頭像 發(fā)表于 03-14 18:20 ?1670次閱讀

    fpga芯片有哪些

    FPGA芯片的種類非常豐富,以下是一些主要的FPGA芯片及其特點。
    的頭像 發(fā)表于 03-14 17:35 ?958次閱讀

    fpga芯片工作原理 fpga芯片有哪些型號

    FPGA芯片的工作原理主要基于其內部的可配置邏輯單元和連線資源。包括以下工作原理: 首先,FPGA內部包含可配置邏輯模塊(CLB)、輸出輸入模塊(IOB)和內部連線(Interconnect)三個
    的頭像 發(fā)表于 03-14 17:17 ?1267次閱讀

    fpga是什么 fpga用什么編程語言

    FPGA(Field-Programmable Gate Array)是一種可編程邏輯技術,它使用可重構的硬件單元(如門陣列和查找表)來實現(xiàn)電路功能。相比傳統(tǒng)的專用集成電路(ASIC),FPGA具有
    的頭像 發(fā)表于 02-04 15:26 ?1483次閱讀

    萊迪思半導體發(fā)力中端FPGA市場

    從傳統(tǒng)的網(wǎng)絡通信、存儲領域,到工業(yè)和車載應用,再到視頻圖像處理等新興領域,FPGA的應用場景幾乎無處不在。預計未來10年,全球對FPGA的需求將會達到100億片,是過去10年全球FPGA
    的頭像 發(fā)表于 01-04 11:17 ?700次閱讀

    國產(chǎn)FPGA都有哪些廠商?

    寫了這么多FPGA的文章卻從來沒有涉及過國產(chǎn)FPGA,很多網(wǎng)友甚至不知道還有國產(chǎn)FPGA。下面列舉一些國產(chǎn)FPGA公司以及產(chǎn)品。
    的頭像 發(fā)表于 12-12 11:30 ?4074次閱讀

    GaN 技術的過去和現(xiàn)在

    GaN 技術的過去和現(xiàn)在
    的頭像 發(fā)表于 12-06 18:21 ?600次閱讀
    GaN 技術的<b class='flag-5'>過去</b>和現(xiàn)在

    FPGA是什么?FPGA的工作原理和應用

    你是否好奇過FPGA技術是如何影響日常使用的設備的?在當今快節(jié)奏的技術領域中,FPGA變得越來越重要。FPGA擁有強大的功能和廣泛的應用,驅動著現(xiàn)代科技的進步。
    的頭像 發(fā)表于 11-02 10:06 ?2897次閱讀

    如何學習FPGA?FPGA學習必備的基礎知識

    FPGA已成為現(xiàn)今的技術熱點之一,無論學生還是工程師都希望跨進FPGA的大門。網(wǎng)絡上各種開發(fā)板、培訓班更是多如牛毛,仿佛在告訴你不懂FPGA你就OUT啦。那么我們要玩轉FPGA必須具備
    的頭像 發(fā)表于 10-27 17:43 ?733次閱讀