0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

在Vivado中構(gòu)建自定義AXI4-Stream FIR濾波器IP 2

jf_78858299 ? 來源:OpenFPGA ? 作者:碎碎思 ? 2023-02-10 14:51 ? 次閱讀

將自定義 RTL 添加到 AXI4-Stream IP

從Flow Navigator中選擇Add Sources添加我們要設(shè)計的 FIR Verilog 文件。

在使用 Add Files 選項指向 FIR Verilog 文件后,取消選中Scan and add RTL include files into project復(fù)選框。由于這不是一個普通的 Vivado 項目,并且 Verilog 代碼被打包到一個 IP 塊中,因此選中該選項后將引發(fā)錯誤。

添加后,源層次結(jié)構(gòu)更新并且 FIR Verilog 文件獨(dú)立于 AXI Verilog 源文件。

根據(jù)生成 IP 編輯器 Vivado 項目時所做的選擇,會為此 AXI4-Stream 外設(shè)生成三個 RTL 源文件:

  • 接口功能 RTL。
  • 主接口功能 RTL。
  • 具有物理接口聲明和主從接口實例化的頂層文件。

在設(shè)計之前,需要將幾個默認(rèn)未啟用的可選 AXI4-Stream 接口信號添加到主 AXI 端口和從 AXI 端口。大多數(shù) DSP IP 模塊,例如 DDS 利用了 AXI4-Stream 額外的keep 和 tlast信號。

添加這些可選信號很簡單,但最初并不清楚。導(dǎo)航到Package IP窗口中的Customization Parameters選項卡。

主接口和從接口都需要添加它們,因此右鍵單擊每個接口并選擇Edit Interface 。

在“Parameters”選項卡中啟用HAS_TKEEP ,方法是單擊每個選項,然后單擊單個箭頭將其從左列移動到右列。

然后切換到Port Mapping選項卡,將 tkeep 和 tlast 映射到 AXI IP 塊的物理接口。在Interface's Logical Ports列和IP's Physical Ports列中分別匹配 tkeep 和 tlast 信號。然后在下面的Mapped Ports Summary列中驗證它們的映射。

由于默認(rèn)情況下不啟用 tkeep,因此還必須手動將其端口聲明添加到所有三個 AXI 接口 RTL 源文件的用戶定義端口聲明部分。它還需要添加到頂級 AXI 包裝器中的 AXI 主接口和從接口實例中。

在注釋Add user logic here標(biāo)記的部分中實例化 FIR Verilog 模塊。

現(xiàn)在,雖然 AXI 接口的基本功能分別在每個 RTL 源文件中自動生成,但如何從輸入數(shù)據(jù)流中提取數(shù)據(jù)并傳遞到自己的自定義邏輯中由自己來定義。以及如何將自定義邏輯的數(shù)據(jù)輸出饋送到主 AXI 接口進(jìn)行輸出。因此,會在三個自動生成的 RTL 源文件中注意到相同的 Add user 邏輯。

另一點(diǎn)需要注意的是,AXI4-Stream 接口的功能需要進(jìn)行一些修改,以便將 tkeep 和 tlast 信號功能添加到主 AXI 接口和從 AXI 接口。

這個項目的最后一個獨(dú)特的要求是,因為這個邏輯的核心是一個 FIR 濾波器,所以主從 AXI 接口和 FIR 都需要由相同的時鐘作為 FIR 采樣時鐘。所以只是將從 AXI 接口時鐘傳遞給 FIR 和主 AXI 接口。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21538

    瀏覽量

    600454
  • amd
    amd
    +關(guān)注

    關(guān)注

    25

    文章

    5402

    瀏覽量

    133701
  • 濾波器
    +關(guān)注

    關(guān)注

    159

    文章

    7657

    瀏覽量

    177180
收藏 人收藏

    評論

    相關(guān)推薦

    axi4-stream互連問題如何解決

    你好,大家好。我正在使用EMI14.4和xc6v315t。我正在嘗試模擬IP CORE.It的axi4-stream interconnect.I配置ip為6siand 4mi。但是當(dāng)
    發(fā)表于 06-18 15:08

    AXI-stream數(shù)據(jù)傳輸過程

    的數(shù)據(jù)流標(biāo)識符。xilinx封裝的ip沒有此信號?! ?.TDEST 用于提供路由信息,xilinx封裝的ip沒有此信號?! ?0.TUSER
    發(fā)表于 01-08 16:52

    構(gòu)建自定義AXI4-Stream FIR濾波器的步驟

    1、?構(gòu)建自定義AXI4-Stream FIR濾波器  AMD-Xilinx 的 Vivado
    發(fā)表于 11-07 16:07

    如何使用Vivado功能創(chuàng)建AXI外設(shè)

    了解如何使用Vivado的創(chuàng)建和封裝IP功能創(chuàng)建可添加自定義邏輯的AXI外設(shè),以創(chuàng)建自定義IP
    的頭像 發(fā)表于 11-29 06:48 ?7057次閱讀
    如何使用<b class='flag-5'>Vivado</b>功能創(chuàng)建<b class='flag-5'>AXI</b>外設(shè)

    自定義sobel濾波IP核,IP接口遵守AXI Stream協(xié)議

    自定義sobel濾波IPIP接口遵守AXI Stream協(xié)議
    的頭像 發(fā)表于 08-06 06:04 ?3831次閱讀

    關(guān)于AXI4-Stream協(xié)議總結(jié)分享

    XI4-StreamAXI4的區(qū)別就是AXI4-Stream去除了地址線,這樣就不涉及讀寫數(shù)據(jù)的概念了,只有簡單的發(fā)送與接收說法,減少了延時。由于AXI4-Stream協(xié)議(amba
    的頭像 發(fā)表于 06-23 10:08 ?2212次閱讀

    AXI4-Stream Video 協(xié)議和AXI_VDMA的IP核介紹

    本文主要介紹關(guān)于AXI4-Stream Video 協(xié)議和AXI_VDMA的IP核相關(guān)內(nèi)容。為后文完成使用帶有HDMI接口的顯示構(gòu)建圖像視
    的頭像 發(fā)表于 07-03 16:11 ?8040次閱讀

    AXI4AXI4-Lite 、AXI4-Stream接口

    AXI4 是一種高性能memory-mapped總線,AXI4-Lite是一只簡單的、低通量的memory-mapped 總線,而 AXI4-Stream 可以傳輸高速數(shù)據(jù)流。從字面意思去理解
    的頭像 發(fā)表于 07-04 09:40 ?8130次閱讀

    ?構(gòu)建自定義AXI4-Stream FIR濾波器

    為了方便用戶進(jìn)行相關(guān)設(shè)計,Vivado 提供了一個內(nèi)置的 IP 封裝編輯工具,它可以為 AXI IP 生成框架,只需將自己的 RTL 代碼
    的頭像 發(fā)表于 11-07 09:25 ?562次閱讀

    ?構(gòu)建自定義AXI4-Stream FIR濾波器

    的圖形表示進(jìn)行設(shè)計,block design中使用 RTL 模塊的方便之處在于,它將自動檢測某些類型的信號,例如時鐘、復(fù)位和總線接口,然后,檢測這些信號進(jìn)行IP間的自動化連接。Vivado
    的頭像 發(fā)表于 01-06 09:31 ?728次閱讀

    Vivado構(gòu)建自定義AXI4-Stream FIR濾波器IP 1

    的圖形表示進(jìn)行設(shè)計,block design中使用 RTL 模塊的方便之處在于,它將自動檢測某些類型的信號,例如時鐘、復(fù)位和總線接口,然后,檢測這些信號進(jìn)行IP間的自動化連接。Vivado
    發(fā)表于 02-10 14:50 ?1008次閱讀
    <b class='flag-5'>在</b><b class='flag-5'>Vivado</b><b class='flag-5'>中</b><b class='flag-5'>構(gòu)建</b><b class='flag-5'>自定義</b><b class='flag-5'>AXI4-Stream</b> <b class='flag-5'>FIR</b><b class='flag-5'>濾波器</b><b class='flag-5'>IP</b> 1

    Vivado構(gòu)建自定義AXI4-Stream FIR濾波器IP 3

    的圖形表示進(jìn)行設(shè)計,block design中使用 RTL 模塊的方便之處在于,它將自動檢測某些類型的信號,例如時鐘、復(fù)位和總線接口,然后,檢測這些信號進(jìn)行IP間的自動化連接。Vivado
    發(fā)表于 02-10 14:51 ?1119次閱讀
    <b class='flag-5'>在</b><b class='flag-5'>Vivado</b><b class='flag-5'>中</b><b class='flag-5'>構(gòu)建</b><b class='flag-5'>自定義</b><b class='flag-5'>AXI4-Stream</b> <b class='flag-5'>FIR</b><b class='flag-5'>濾波器</b><b class='flag-5'>IP</b> 3

    Video In to AXI4-Stream IP核知識介紹

    大家好!今日分享一些關(guān)于Video In to AXI4-Stream IP 核的知識。具體學(xué)習(xí)IP核的過程,我也將分享一些關(guān)于如何看x
    的頭像 發(fā)表于 05-18 14:55 ?1503次閱讀
    Video In to <b class='flag-5'>AXI4-Stream</b> <b class='flag-5'>IP</b>核知識介紹

    自定義AXI-Lite接口的IP及源碼分析

    Vivado 自定義 AXI4-Lite 接口的 IP,實現(xiàn)一個簡單的 LED 控制功能,
    發(fā)表于 06-25 16:31 ?3040次閱讀
    <b class='flag-5'>自定義</b><b class='flag-5'>AXI</b>-Lite接口的<b class='flag-5'>IP</b>及源碼分析

    LogiCORE IP AXI4-Stream FIFO內(nèi)核解決方案

    LogiCORE IP AXI4-Stream FIFO內(nèi)核允許以內(nèi)存映射方式訪問一個AXI4-Stream接口。該內(nèi)核可用于與AXI4-Stream
    的頭像 發(fā)表于 09-25 10:55 ?1239次閱讀
    LogiCORE <b class='flag-5'>IP</b> <b class='flag-5'>AXI4-Stream</b> FIFO內(nèi)核解決方案