0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

靈活的多通道混合信號(hào)模擬I/O電路構(gòu)建指南

analog_devices ? 來(lái)源:ADI 亞德諾半導(dǎo)體 ? 作者:ADI 亞德諾半導(dǎo)體 ? 2023-02-18 11:40 ? 次閱讀

雖然世界繼續(xù)更加數(shù)字化,計(jì)算能力和數(shù)字功能愈發(fā)關(guān)鍵,但測(cè)量環(huán)境和與實(shí)際器件交互的需求仍然是一種模擬功能。為了在數(shù)字和模擬域的邊界運(yùn)行,處理器必須包括混合信號(hào)輸入/輸出,并適應(yīng)更多的軟件可編程范圍,從而支持許多工業(yè)、儀器儀表和自動(dòng)化應(yīng)用。

圖1所示的電路是一個(gè)靈活的多通道混合信號(hào)模擬輸入/輸出(I/O)模塊(下文簡(jiǎn)稱該電路為CN0554)。16個(gè)單端模擬輸出可通過(guò)軟件配置,支持范圍為0 V至5 V、±5 V、0 V至10 V和±15 V。8個(gè)全差分模擬輸入通道的輸入范圍為0 V至2.5 V、±13.75 V和0 V至27.5 V,可通過(guò)硬件進(jìn)行選擇。

該電路可直接安裝在樹(shù)莓派的頂部,為這款受歡迎的單板計(jì)算機(jī)提供模擬I/O接口??赏ㄟ^(guò)Linux工業(yè)輸入/輸出(IIO)框架訪問(wèn)軟件控制,提供各種調(diào)試和開(kāi)發(fā)實(shí)用程序,以及支持C、C#、MATLAB、Python等語(yǔ)言綁定的跨平臺(tái)應(yīng)用程序編程接口(API)。

軟件可以在樹(shù)莓派上本地運(yùn)行,也可以通過(guò)有線或無(wú)線網(wǎng)絡(luò)連接進(jìn)行遠(yuǎn)程控制。模塊的5 V電源通過(guò)樹(shù)莓派接口連接器提供,不需要額外的電源。所有這些特性使該系統(tǒng)適用于低功耗、本地和遠(yuǎn)程、精密模擬I/O應(yīng)用。

44fbda5e-af3d-11ed-bfe3-dac502259ad0.png圖 1. CN0554 簡(jiǎn)化功能框圖電路描述 CN0554為精密應(yīng)用提供完整的模擬I/O系統(tǒng)。該電路可細(xì)分為兩個(gè)主要組件:模數(shù)轉(zhuǎn)換(ADC)和數(shù)模轉(zhuǎn)換(DAC)。

模擬輸入

CN0554可通過(guò)板載 LT5400外部匹配電阻網(wǎng)絡(luò)適應(yīng)高達(dá)11倍輸入衰減的模擬輸入電壓范圍。該器件通過(guò)跳線選擇增加了模擬輸入電壓范圍。

表1顯示了CN0554的完整跳線配置和相應(yīng)的模擬輸入電壓范圍。

表 1. 模擬輸入范圍

4518213c-af3d-11ed-bfe3-dac502259ad0.png

模數(shù)轉(zhuǎn)換

CN0554包含具有可配置模擬輸入的24位Σ-Δ ADC—— AD7124-8 。8個(gè)全差分輸入通道或16個(gè)單端輸入通道可通過(guò)軟件配置,并提供可編程增益、濾波器設(shè)置和輸出數(shù)據(jù)速率。

外部基準(zhǔn)電壓可通過(guò)跳線進(jìn)行選擇,可以是AD7124-8的內(nèi)部帶隙基準(zhǔn)電壓源,也可以是高精度、低功耗和低噪聲基準(zhǔn)電壓源 ADR4525 的2.5 V輸出。由于基準(zhǔn)電壓漂移直接影響ADC的精度,CN0554使用外部基準(zhǔn)電壓源,因?yàn)榕c內(nèi)部基準(zhǔn)電壓源相比,其溫度漂移性能更佳。

AD7124-8的Σ-Δ架構(gòu)在小信號(hào)傳感器測(cè)量,甚至工業(yè)等高噪聲環(huán)境中均提供高分辨率和噪聲抑制。輸出數(shù)據(jù)速率的可編程范圍為1.17 SPS至19.2 kSPS,相應(yīng)的測(cè)量分辨率分別為24 nV rms至72 μV rms;有幾種濾波器模式可用。這使得CN0554的分辨率、數(shù)據(jù)速率和噪聲抑制能夠針對(duì)廣泛的應(yīng)用進(jìn)行優(yōu)化。

數(shù)模轉(zhuǎn)換

CN0554包含16個(gè)使用 LTC2688 電壓輸出DAC的單端16位模擬輸出。每個(gè)通道都有一個(gè)內(nèi)部軌到軌輸出緩沖區(qū),可提供或接收高達(dá)20 mA的電流。

LT8582 為L(zhǎng)TC2688提供±18 V電源軌,使DAC能夠充分利用其高達(dá)±15 V的模擬輸出范圍。每個(gè)通道的輸出范圍可獨(dú)立編程為表2中列出的五個(gè)范圍?;鶞?zhǔn)電壓可通過(guò)軟件編程,可使用內(nèi)部4.096 V,或?qū)DR4525 2.5 V基準(zhǔn)電壓源用于ADC。每個(gè)通道還支持5%的超量程。

表 2. 電壓輸出范圍調(diào)整

453e087a-af3d-11ed-bfe3-dac502259ad0.png

切換和抖動(dòng)功能

CN0554同時(shí)支持切換和抖動(dòng)功能。切換功能可以在兩個(gè)不同的DAC代碼之間快速切換DAC輸出,而無(wú)需任何SPI事務(wù),從而消除了通信事務(wù)。示例包括注入一個(gè)小的直流偏置或在通斷狀態(tài)之間獨(dú)立切換。

抖動(dòng)減少了精密應(yīng)用中的量化誤差,并通過(guò)在多個(gè)輸出代碼上擴(kuò)展非線性來(lái)完成。此功能在許多需要將交流信號(hào)疊加在信號(hào)的平均直流值附近的應(yīng)用中很有幫助。例如,在光學(xué)應(yīng)用中,光路的次級(jí)特性可通過(guò)其對(duì)小交流信號(hào)的響應(yīng)來(lái)測(cè)量。此外,抖動(dòng)減少了滑閥等機(jī)械系統(tǒng)中的粘滯,加快了滑閥位置變化時(shí)的響應(yīng)速度。

  • 切換操作

如圖2所示,每個(gè)通道都支持切換操作,可在通過(guò)軟件設(shè)置的兩個(gè)值之間切換輸出電壓。切換由切換信號(hào)控制,該信號(hào)可從樹(shù)莓派或內(nèi)部軟件控制寄存器的三個(gè)不同外部數(shù)字輸入(TGP0、TGP1和TGP2)中獲取。其中兩個(gè)數(shù)字信號(hào)TGP0和TGP1連接到樹(shù)莓派數(shù)字輸出,支持脈沖寬度調(diào)制(PWM)。

45702bb6-af3d-11ed-bfe3-dac502259ad0.png

圖 2. 切換和抖動(dòng)操作框圖

圖3顯示了CN0554執(zhí)行的切換操作示例。根據(jù)切換引腳,輸出電壓在零電平和滿量程值之間擺動(dòng),在1 kHz時(shí)測(cè)量的峰峰值電壓為33.0 V。

458c1a56-af3d-11ed-bfe3-dac502259ad0.png

圖 3. 零電平至滿量程輸出電壓切換

  • 抖動(dòng)操作

在CN0554中,每個(gè)通道還支持將正弦抖動(dòng)信號(hào)添加到模擬輸出的抖動(dòng)操作。正弦曲線是使用查找表生成的,查找表中的值來(lái)自等式1。

45a788b8-af3d-11ed-bfe3-dac502259ad0.png

其中:
n= 0, 1, 2, … N — 1.
N 是信號(hào)周期。
φ0是信號(hào)相位角,初始信號(hào)相位。

CN0554可配置抖動(dòng)信號(hào)的幅度、周期和相位角。

抖動(dòng)信號(hào)的幅度通過(guò)軟件設(shè)置,可以在設(shè)置的最大輸出電壓的0%到25%之間。

為了設(shè)置抖動(dòng)頻率,需要抖動(dòng)時(shí)鐘輸入,并且可以從樹(shù)莓派的三個(gè)外部數(shù)字輸入TGP0、TGP1和TGP2中選擇。其中兩個(gè)外部輸入TGP0和TGP1連接到樹(shù)莓派數(shù)字輸出,具有PWM特性,可輕松配置時(shí)鐘頻率。

抖動(dòng)信號(hào)的頻率通過(guò)由4、8、16、32和64軟件可配置分頻器分頻的抖動(dòng)時(shí)鐘輸入來(lái)設(shè)置,從而使用等式2來(lái)計(jì)算由此產(chǎn)生的抖動(dòng)信號(hào)的頻率:

45bbb194-af3d-11ed-bfe3-dac502259ad0.png

其中:
fsignal 是產(chǎn)生的抖動(dòng)信號(hào)的頻率。
fPWM 是PWM時(shí)鐘頻率。
N 是分頻器。

抖動(dòng)相位角可配置為四個(gè)不同的值:0、90、180和270。所有這些參數(shù)有助于精確控制抖動(dòng)DAC通道輸出。

圖4顯示了CN0554在最大信號(hào)周期的中間電平輸出電壓下執(zhí)行的抖動(dòng)操作示例,在1 kHz抖動(dòng)時(shí)鐘下,峰峰值電壓為15.04 V。

45ebc03c-af3d-11ed-bfe3-dac502259ad0.png

圖 4. 最大信號(hào)周期時(shí)的中間電平輸出電壓

圖5顯示了在最小信號(hào)周期的中間電平輸出電壓下執(zhí)行的抖動(dòng)操作,在1 kHz抖動(dòng)時(shí)鐘下,峰峰值電壓為17.6 V。

46056d0c-af3d-11ed-bfe3-dac502259ad0.png

圖 5. 最小信號(hào)周期時(shí)的中間電平輸出電壓

系統(tǒng)性能

  • 模擬輸入噪聲性能

圖6顯示了中間電平輸入(5 V)時(shí)的噪聲特性,圖7顯示了滿量程輸入(10 V)時(shí)的噪聲特性。

461ee994-af3d-11ed-bfe3-dac502259ad0.png

圖 6. 中間電平模擬輸入噪聲直方圖

4637f1c8-af3d-11ed-bfe3-dac502259ad0.png

圖 7. 滿量程模擬輸入噪聲直方圖

  • 模擬輸出噪聲性能

LT8582的開(kāi)關(guān)穩(wěn)壓器輸出經(jīng)過(guò)旁路和濾波,以降低噪聲。圖8顯示了零電平輸出時(shí)的交流耦合信號(hào)噪聲,其在14.4 mV時(shí)具有非常低的峰峰值噪聲。

4654be8e-af3d-11ed-bfe3-dac502259ad0.png

圖 8. 來(lái)自 ADC 和 DAC 通道環(huán)回的零電平 AC 耦合噪聲信號(hào)

圖9顯示了中間電平輸出時(shí)產(chǎn)生的13.4 mV峰峰值噪聲。

467c0d4a-af3d-11ed-bfe3-dac502259ad0.png

圖 9. 來(lái)自 ADC 和 DAC 通道環(huán)回的中間電平 AC 耦合噪聲信號(hào)

在圖10中,電路板在滿量程輸出時(shí)產(chǎn)生了17.6 mV的最高峰峰值噪聲。

46a582f6-af3d-11ed-bfe3-dac502259ad0.png

圖 10. 來(lái)自 ADC 和 DAC 通道環(huán)回的滿量程 AC 耦合噪聲信號(hào)

  • 模擬輸出線性

積分非線性(INL)是指與通過(guò)DAC轉(zhuǎn)換函數(shù)端點(diǎn)的直線的最大偏差(單位:LSB)。此外,差分非線性(DNL)是任意兩個(gè)相鄰代碼之間測(cè)得的變化值與理想的1 LSB變化值之間的差異。最大±1 LSB的額定差分非線性可確保單調(diào)性。

圖11顯示了輸出電壓的DNL(單位:LSB)與單通道LTC2688輸出的16位設(shè)置值的對(duì)比。

46cc13d0-af3d-11ed-bfe3-dac502259ad0.png

圖 11. 輸出電壓的差分非線性

圖12顯示了輸出電壓的INL(單位:LSB)與單通道LTC2688輸出的16位設(shè)置值的對(duì)比。

46f41cb8-af3d-11ed-bfe3-dac502259ad0.png

圖 12. 輸出電壓的積分非線性

電源架構(gòu)

CN0554直接從樹(shù)莓派40引腳接口連接器獲取電源。圖13顯示了CN0554的完整電源樹(shù)。

471b3348-af3d-11ed-bfe3-dac502259ad0.png

圖 13. CN0554 電源樹(shù)

LT8582是一個(gè)雙獨(dú)立通道開(kāi)關(guān)DC/DC轉(zhuǎn)換器,負(fù)責(zé)樹(shù)莓派5 V電源的升壓和反相。

LT8582輸出18 V和-18 V軌,然后用于為ADC和DAC提供必要的電源軌。CN0554還通過(guò)LT8582的故障保護(hù)特性提供輸入過(guò)壓和過(guò)熱保護(hù)。

ADM7160超低噪聲、低壓差穩(wěn)壓器為AD7124-8提供3.3 V模擬電源軌。該穩(wěn)壓器由樹(shù)莓派接口連接器上的5 V電源軌供電。AD7124-8數(shù)字I/O電源直接連接到樹(shù)莓派的3.3 V電源軌。

LT3090將-18 V電源軌調(diào)節(jié)至-0.1 V,為AD7124-8提供略微為負(fù)的模擬電源。電源軌設(shè)計(jì)成即使在啟用輸入緩沖器的情況下,絕對(duì)模擬輸入電壓也能覆蓋從接地到基準(zhǔn)電壓的整個(gè)范圍。

常見(jiàn)變化 AD7124-4 可用于代替AD7124-8,只有8個(gè)單端和/或4個(gè)差分通道;這降低了無(wú)需額外通道的應(yīng)用成本。

LTC2686 是LTC2688的8通道替代品。它具有55 mA的更高輸出驅(qū)動(dòng)電流和用于驅(qū)動(dòng)高容性負(fù)載的補(bǔ)償引腳。

如果只需0 V至5 V的輸出范圍,則LT8582可更換為單一正5 V電源。DAC的替代電源選項(xiàng)可以考慮較低的輸出電流升壓或反相穩(wěn)壓器,因?yàn)殡娐钒逶O(shè)計(jì)為支持所有通道上的全部DAC輸出電流。

此外,還可以根據(jù)應(yīng)用添加低壓差穩(wěn)壓器等升壓或反相穩(wěn)壓器的低噪聲后置調(diào)節(jié)。

電路評(píng)估與測(cè)試 本節(jié)介紹評(píng)估EVAL-CN0554-RPIZ的設(shè)置和程序。如需完整的詳細(xì)信息,請(qǐng)參閱 CN0554用戶指南。

設(shè)備要求

  • EVAL-CN0554-RPIZ電路評(píng)估板

  • 樹(shù)莓派4 B型

  • HDMI的顯示器

  • Micro HDMI轉(zhuǎn)HDMI適配器

  • USB鍵盤(pán)和鼠標(biāo)

  • 16 GB或更大的SD

  • ADI公司Kuiper Linux鏡像

  • 5 V、3 A USB Type-C電源適配器

  • 母對(duì)母環(huán)回跳線

  • 示波器

  • 數(shù)字電壓表(6位或更高)


開(kāi)始使用

默認(rèn)情況下,CN0554評(píng)估板配置了用于測(cè)試的正確分流位置。訪問(wèn)EVAL-CN0554-RPIZ用戶指南以驗(yàn)證分流位置。

要執(zhí)行評(píng)估測(cè)試,請(qǐng)按以下步驟操作:

  1. 將 EVAL-CN0554-RPIZ 連接到樹(shù)莓派,如圖 14 所示。

474082c4-af3d-11ed-bfe3-dac502259ad0.png

圖 14. EVAL-CN0554-RPIZ 連接到樹(shù)莓派

  1. 將具有 Kuiper Linux 鏡像的 SD 卡插入樹(shù)莓派。

  2. 使用母對(duì)母環(huán)回跳線電纜連接 ADC 輸入和 DAC 輸出通道,如圖 15 所示。

475ae2cc-af3d-11ed-bfe3-dac502259ad0.png

圖 15. 具有環(huán)回連接的 EVAL-CN0554-RPIZ 測(cè)試設(shè)置

  1. 將樹(shù)莓派的 HDMI 電纜連接到顯示器,然后將鍵盤(pán)和鼠標(biāo)連接到 USB 端口。

  2. 使用 USB Type-C 電源適配器為樹(shù)莓派供電,并等待樹(shù)莓派啟動(dòng)。

47913232-af3d-11ed-bfe3-dac502259ad0.png

圖 16. 系統(tǒng)測(cè)試設(shè)置

  1. 打開(kāi) IIO 示波器,卸下(undock)DMM 和調(diào)試選項(xiàng)卡,如圖 17 所示。

47bf73ae-af3d-11ed-bfe3-dac502259ad0.png

圖 17. IIO 示波器 DMM 和調(diào)試選項(xiàng)卡

  1. 在 DMM 窗口中,選擇 ad7124 作為器件并選擇要測(cè)量的通道,例如 ad7124-8:voltage0-voltage1。點(diǎn)擊 Play 按鈕開(kāi)始測(cè)量。

47cfb408-af3d-11ed-bfe3-dac502259ad0.png

圖 18. IIO 示波器 DMM 窗口

  1. 在調(diào)試窗口中,在“器件選擇”中選擇 ltc2688。在 IIO器件屬性部分,選擇輸出電壓 1 通道并選擇原始屬性。將值設(shè)置為 32768,然后點(diǎn)擊寫(xiě)入。這應(yīng)該將輸出電壓設(shè)置為 2.5 V 左右,即默認(rèn)輸出范圍 0 V 至 5 V 的一半。

47f340a8-af3d-11ed-bfe3-dac502259ad0.png

圖 19. IIO 示波器調(diào)試窗口

  1. DMM 測(cè)量值應(yīng)顯示約 0.227 V 或 2.5 V 的 1/11,即默認(rèn)輸入電壓衰減。

4803aace-af3d-11ed-bfe3-dac502259ad0.png

圖 20. CN0554 模擬 I/O 的環(huán)回測(cè)量


聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • adi
    adi
    +關(guān)注

    關(guān)注

    144

    文章

    45806

    瀏覽量

    247526
  • 混合信號(hào)
    +關(guān)注

    關(guān)注

    0

    文章

    463

    瀏覽量

    64910
  • adc
    adc
    +關(guān)注

    關(guān)注

    97

    文章

    6347

    瀏覽量

    543363
  • dac
    dac
    +關(guān)注

    關(guān)注

    43

    文章

    2250

    瀏覽量

    190633
  • LT8582
    +關(guān)注

    關(guān)注

    0

    文章

    4

    瀏覽量

    5914

原文標(biāo)題:靈活的多通道混合信號(hào)模擬I/O電路構(gòu)建指南

文章出處:【微信號(hào):analog_devices,微信公眾號(hào):analog_devices】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    物聯(lián)網(wǎng)中常見(jiàn)的I/O擴(kuò)展電路設(shè)計(jì)方案_IIC I/O擴(kuò)展芯片

    )自帶的I/O端口數(shù)量有限,但物聯(lián)網(wǎng)項(xiàng)目往往需要連接大量的傳感器、執(zhí)行器和其他外設(shè)。I2C I/O擴(kuò)展芯片能夠通過(guò)
    的頭像 發(fā)表于 09-24 11:29 ?186次閱讀
    物聯(lián)網(wǎng)中常見(jiàn)的<b class='flag-5'>I</b>/<b class='flag-5'>O</b>擴(kuò)展<b class='flag-5'>電路</b>設(shè)計(jì)方案_IIC <b class='flag-5'>I</b>/<b class='flag-5'>O</b>擴(kuò)展芯片

    通道數(shù)據(jù)采集儀的作用與用途

    通道數(shù)據(jù)采集儀是一種廣泛應(yīng)用于工業(yè)、科研、教育等領(lǐng)域的高性能數(shù)據(jù)采集設(shè)備。它能夠同時(shí)采集多個(gè)通道模擬信號(hào)或數(shù)字信號(hào),并對(duì)這些
    的頭像 發(fā)表于 07-02 09:10 ?356次閱讀

    通道數(shù)據(jù)采集儀怎么用的

    通道數(shù)據(jù)采集儀是一種廣泛應(yīng)用于工業(yè)、科研、教育等領(lǐng)域的高精度數(shù)據(jù)采集設(shè)備。它能夠同時(shí)采集多個(gè)通道模擬信號(hào),并將采集到的數(shù)據(jù)進(jìn)行處理、存儲(chǔ)和顯示。本文將詳細(xì)介紹
    的頭像 發(fā)表于 07-02 09:08 ?421次閱讀

    通道數(shù)據(jù)采集器能采集什么

    通道數(shù)據(jù)采集器是一種廣泛應(yīng)用于工業(yè)、科研、教育等領(lǐng)域的高精度數(shù)據(jù)采集設(shè)備。它能夠同時(shí)采集多個(gè)通道模擬信號(hào)、數(shù)字信號(hào)
    的頭像 發(fā)表于 07-02 09:02 ?510次閱讀

    通道數(shù)據(jù)采集系統(tǒng)的優(yōu)缺點(diǎn)

    況。 一、通道數(shù)據(jù)采集系統(tǒng)概述 1.1 定義 通道數(shù)據(jù)采集系統(tǒng)是一種能夠同時(shí)采集多個(gè)通道信號(hào)
    的頭像 發(fā)表于 07-01 15:58 ?929次閱讀

    混合信號(hào) SOC 產(chǎn)品用戶指南

    芯海混合信號(hào) SOC 產(chǎn)品是指集成了 RISC MCU、高精度 ADC、LED/LCD 顯示模塊等高系統(tǒng)集成的產(chǎn)品。芯片系統(tǒng)資源為特定應(yīng)用領(lǐng)域量身定做,集高性能,高集成度,高性價(jià)比于一身。用戶可根據(jù)對(duì)應(yīng)芯片型號(hào)的規(guī)格進(jìn)行修改,僅供參考。*附件:APN_SOC_用戶
    發(fā)表于 05-16 09:51

    軟件可配置模擬 I/O 的設(shè)計(jì)理念

    將這種荒謬變成了現(xiàn)實(shí)。如今,單一模擬集成電路 (IC) 就能實(shí)現(xiàn)多種角色。例如,[Analog Devices]的 [MAX22000] 工業(yè) I/O 設(shè)備提供六個(gè)模擬輸入和一個(gè)
    的頭像 發(fā)表于 05-05 11:10 ?665次閱讀
    軟件可配置<b class='flag-5'>模擬</b> <b class='flag-5'>I</b>/<b class='flag-5'>O</b> 的設(shè)計(jì)理念

    16路數(shù)字量輸入I/O模塊用于測(cè)量和控制

    路數(shù)字量輸入I/O模塊有 16 個(gè)輸入通道,即DI 1 … DI 16,可接收現(xiàn)場(chǎng)數(shù)字設(shè)備的控制信號(hào)。所有通道
    的頭像 發(fā)表于 04-07 16:57 ?663次閱讀
    16路數(shù)字量輸入<b class='flag-5'>I</b>/<b class='flag-5'>O</b>模塊用于測(cè)量和控制

    簡(jiǎn)單認(rèn)識(shí)模擬集成電路

    模擬集成電路是指處理模擬信號(hào)的集成電路模擬混合信號(hào) (Analog and Mixed Si
    的頭像 發(fā)表于 12-08 10:29 ?1198次閱讀
    簡(jiǎn)單認(rèn)識(shí)<b class='flag-5'>模擬集成電路</b>

    物理約束實(shí)踐:I/O約束

    I/O約束(I/O Constraints)包括I/O標(biāo)準(zhǔn)(
    的頭像 發(fā)表于 11-18 16:42 ?986次閱讀
    物理約束實(shí)踐:<b class='flag-5'>I</b>/<b class='flag-5'>O</b>約束

    無(wú)矢量測(cè)試:高速I/O的最佳選擇

    巨大的數(shù)字系統(tǒng)級(jí)芯片設(shè)計(jì)中。雖然片上內(nèi)置自測(cè)(BIST)與環(huán)回操作相結(jié)合是昂貴的自動(dòng)測(cè)試設(shè)備(ATE)的廣泛采用的替代方案,但其高速模擬部分的故障覆蓋率較差,嚴(yán)重影響整體產(chǎn)品現(xiàn)在,一種稱為無(wú)矢量測(cè)試的方法正在出現(xiàn),它提供了兩種方法中的最佳方法:片上I/
    的頭像 發(fā)表于 11-10 16:57 ?599次閱讀

    通道脈沖信號(hào)如何快速采集和存儲(chǔ)?

    通道脈沖信號(hào)如何快速采集和存儲(chǔ)? 通道脈沖信號(hào)的快速采集和存儲(chǔ)是在許多科學(xué)和工程應(yīng)用中的重要
    的頭像 發(fā)表于 11-10 15:39 ?731次閱讀

    Linux I/O 接口的類型及處理流程

    設(shè)備、塊設(shè)備)進(jìn)行讀寫(xiě)操作的接口,包括 ioctl()、mmap()、select()、poll()、epoll() 等。 其他 I/O 接口:如管道接口、共享內(nèi)存接口、信號(hào)量接口等。 Linux
    的頭像 發(fā)表于 11-08 16:43 ?789次閱讀
    Linux <b class='flag-5'>I</b>/<b class='flag-5'>O</b> 接口的類型及處理流程

    I/O電路中電壓比較器與I/O信號(hào)完整性介紹

    在高速I/O電路設(shè)計(jì)中,輸入I/O的比較器是一個(gè)非常重要的模塊。
    的頭像 發(fā)表于 10-30 15:02 ?1474次閱讀
    <b class='flag-5'>I</b>/<b class='flag-5'>O</b><b class='flag-5'>電路</b>中電壓比較器與<b class='flag-5'>I</b>/<b class='flag-5'>O</b><b class='flag-5'>信號(hào)</b>完整性介紹

    RA2快速設(shè)計(jì)指南 [6] 寄存器寫(xiě)保護(hù)和I/O端口配置

    RA2快速設(shè)計(jì)指南 [6] 寄存器寫(xiě)保護(hù)和I/O端口配置
    的頭像 發(fā)表于 10-24 16:48 ?541次閱讀
    RA2快速設(shè)計(jì)<b class='flag-5'>指南</b> [6] 寄存器寫(xiě)保護(hù)和<b class='flag-5'>I</b>/<b class='flag-5'>O</b>端口配置