0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

一些有趣的數(shù)組相關(guān)的SystemVerilog約束

芯片驗(yàn)證工程師 ? 來(lái)源:芯片驗(yàn)證工程師 ? 2023-03-08 13:12 ? 次閱讀

我們?cè)诠ぷ髦谐3?huì)針對(duì)數(shù)組施加各式的約束,下面列舉一下有趣的Systemverilog數(shù)組約束示例:

1、如何約束動(dòng)態(tài)數(shù)組的最后一個(gè)元素為特定值。(事先不知道數(shù)組的大小)

randintsome_dynamic_array[];
constraint last_elem_c {
  some_dynamic_array[some_dynamic_array.size() - 1] == 5;
}
上面是最先想到的寫(xiě)法,但是會(huì)報(bào)錯(cuò),因?yàn)?strong>SV約束語(yǔ)法不允許使用size()或任何其他隨機(jī)值作為索引。所以只能修改代碼:
constraint last_elem_c {
  foreach(some_dynamic_array[i])
    if (i == some_dynamic_array.size() - 1)
      some_dynamic_array[i] == 5;
}
2、約束數(shù)組中必須包含一個(gè)特定的值2。
constraint contains_c {
  2 inside { some_dynamic_array };
}
相反,如果我們約束數(shù)組中不包含值2呢?
constraint not_contains_c {
  !(2 inside { some_dynamic_array });
}
3、約束數(shù)組中每個(gè)值都是唯一的。
constraint all_elems_unique_c {
  unique { some_dynamic_array };
}
這個(gè)約束非常簡(jiǎn)短高效,等價(jià)于下面這段冗長(zhǎng)的代碼:
constraint all_elems_unique_c {
  foreach (some_dynamic_array[i])
    foreach (some_dynamic_array[j])
      if (i != j)
        some_dynamic_array[i] != some_dynamic_array[j];
}
上面這段代碼雖然冗長(zhǎng),確是我們開(kāi)發(fā)數(shù)組約束的一個(gè)通用框架。 4、約束2個(gè)數(shù)組相等。如果數(shù)組是packed可以直接使用==,如果數(shù)組是unpacked,則不行。 packedarray約束:
rand bit[9:0][3:0] some_packed_array, some_other_packed_array;


constraint packed_arrays_equal_c {
  some_packed_array == some_other_packed_array;
}
unpackedarray約束:
rand bit[3:0] some_unpacked_array[10], some_other_unpacked_array[10];


constraint unpacked_arrays_equal_c {
  foreach (some_other_unpacked_array[i])
    some_other_unpacked_array[i] == some_unpacked_array[i];
}

審核編輯:湯梓紅
聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1335

    瀏覽量

    109844
  • System
    +關(guān)注

    關(guān)注

    0

    文章

    164

    瀏覽量

    36803
  • 代碼
    +關(guān)注

    關(guān)注

    30

    文章

    4694

    瀏覽量

    68076
  • 約束
    +關(guān)注

    關(guān)注

    0

    文章

    82

    瀏覽量

    12698
  • 數(shù)組
    +關(guān)注

    關(guān)注

    1

    文章

    411

    瀏覽量

    25858

原文標(biāo)題:一些有趣的數(shù)組相關(guān)的SystemVerilog 約束

文章出處:【微信號(hào):芯片驗(yàn)證工程師,微信公眾號(hào):芯片驗(yàn)證工程師】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    Foreach對(duì)Associative Array的constraint約束問(wèn)題記錄分享

    systemverilog constraint中的foreach可以對(duì)數(shù)組進(jìn)行遍歷和約束,常用于普通數(shù)組,隊(duì)列或者動(dòng)態(tài)數(shù)組。
    的頭像 發(fā)表于 08-21 09:31 ?1109次閱讀
    Foreach對(duì)Associative Array的constraint<b class='flag-5'>約束</b>問(wèn)題記錄分享

    systemverilog學(xué)習(xí)教程

    systemverilog一些基本語(yǔ)法以及和verilog語(yǔ)言之間的區(qū)別。
    發(fā)表于 04-01 14:24

    使用stm32f28027一些相關(guān)資料和教程

    使用stm32f28027一些相關(guān)資料和教程,免費(fèi)下載分享
    發(fā)表于 01-15 15:49 ?0次下載

    用幾個(gè)深度學(xué)習(xí)框架串起來(lái)這些年歷史上的一些有趣的插曲

    清明節(jié)這幾天有些時(shí)間寫(xiě)了這篇文章,從我的視角,用幾個(gè)深度學(xué)習(xí)框架串起來(lái)這些年歷史上的一些有趣的插曲,和技術(shù)背后的一些故事,免得寶貴的記憶隨著時(shí)間在腦中淡去。
    的頭像 發(fā)表于 04-15 14:26 ?2053次閱讀
    用幾個(gè)深度學(xué)習(xí)框架串起來(lái)這些年歷史上的<b class='flag-5'>一些</b><b class='flag-5'>有趣</b>的插曲

    一些有趣的NE555電路

    NE555是個(gè)非常常見(jiàn)的個(gè)芯片,在很多的電器里都能看到它的身影,本文為大家總結(jié)了一些有趣的NE555電路。
    的頭像 發(fā)表于 08-31 10:59 ?2388次閱讀

    SystemVerilog中可以嵌套的數(shù)據(jù)結(jié)構(gòu)

    SystemVerilog中除了數(shù)組、隊(duì)列和關(guān)聯(lián)數(shù)組等數(shù)據(jù)結(jié)構(gòu),這些數(shù)據(jù)結(jié)構(gòu)還可以嵌套。
    的頭像 發(fā)表于 11-03 09:59 ?1511次閱讀

    簡(jiǎn)述SystemVerilog的隨機(jī)約束方法

    篇文章介紹了SystemVerilog的各種隨機(jī)化方法,本文將在其基礎(chǔ)上引入SystemVerilog的隨機(jī)約束方法(constraints)。通過(guò)使用隨機(jī)
    的頭像 發(fā)表于 01-21 17:03 ?1938次閱讀

    使用SystemVerilog解決數(shù)組問(wèn)題

    數(shù)獨(dú)是種非常流行的游戲,數(shù)獨(dú)本質(zhì)上也是個(gè)約束問(wèn)題,所以我們可以讓SystemVerilog約束求解器來(lái)幫助我們解決。
    的頭像 發(fā)表于 03-08 14:06 ?1392次閱讀

    SystemVerilog中“軟約束”與“硬約束”的應(yīng)用示例

    示例中采用的是“硬約束”,因?yàn)槎x在類中的約束與隨機(jī)時(shí)指定的內(nèi)嵌約束“矛盾”,所以導(dǎo)致約束解析器解析隨機(jī)失敗,即“硬約束”要求所有
    發(fā)表于 03-15 16:56 ?4348次閱讀

    時(shí)序約束相關(guān)知識(shí)()

    本章節(jié)主要介紹一些簡(jiǎn)單的時(shí)序約束的概念。
    的頭像 發(fā)表于 03-31 16:37 ?1504次閱讀
    時(shí)序<b class='flag-5'>約束</b>的<b class='flag-5'>相關(guān)</b>知識(shí)(<b class='flag-5'>一</b>)

    列舉一下有趣Systemverilog數(shù)組約束示例

    上面是最先想到的寫(xiě)法,但是會(huì)報(bào)錯(cuò),因?yàn)镾V約束語(yǔ)法不允許使用size()或任何其他隨機(jī)值作為索引。
    的頭像 發(fā)表于 05-04 17:35 ?876次閱讀

    一些有趣數(shù)組相關(guān)SystemVerilog約束

    我們?cè)诠ぷ髦谐3?huì)針對(duì)數(shù)組施加各式的約束,下面列舉一下有趣的**Systemverilog數(shù)組約束
    的頭像 發(fā)表于 05-30 11:13 ?681次閱讀

    帶你了解SystemVerilog中的關(guān)聯(lián)數(shù)組

    SystemVerilog中,我們知道可以使用動(dòng)態(tài)數(shù)組實(shí)現(xiàn)數(shù)組元素個(gè)數(shù)的動(dòng)態(tài)分配,即隨用隨分
    的頭像 發(fā)表于 06-09 09:46 ?6981次閱讀
    帶你了解<b class='flag-5'>SystemVerilog</b>中的關(guān)聯(lián)<b class='flag-5'>數(shù)組</b>

    SystemVerilog的隨機(jī)約束方法

    篇文章《暗藏玄機(jī)的SV隨機(jī)化》介紹了SystemVerilog的各種隨機(jī)化方法,本文將在其基礎(chǔ)上引入SystemVerilog的隨機(jī)約束方法(constraints)。通過(guò)使用隨機(jī)
    的頭像 發(fā)表于 09-24 12:15 ?1390次閱讀

    分享一些SystemVerilog的coding guideline

    本文分享一些SystemVerilog的coding guideline。
    的頭像 發(fā)表于 11-22 09:17 ?631次閱讀
    分享<b class='flag-5'>一些</b><b class='flag-5'>SystemVerilog</b>的coding  guideline