0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

時鐘切換電路設(shè)計方案

CHANBAEK ? 來源:數(shù)字IC與好好生活的兩居室 ? 作者:除夕之夜啊 ? 2023-03-29 11:41 ? 次閱讀

隨著各種應(yīng)用場景的限制,芯片在運(yùn)行時往往需要在不同的應(yīng)用下切換不同的時鐘源,例如低功耗和高性能模式就分別需要低頻率和高頻率的時鐘。兩個時鐘源有可能是同源且頻率比呈倍數(shù)關(guān)系,也有可能是不相關(guān)的。直接使用選擇邏輯進(jìn)行時鐘切換大概率會導(dǎo)致分頻時鐘信號出現(xiàn)毛刺現(xiàn)象,所以時鐘切換邏輯也需要進(jìn)行特殊的處理。

時鐘切換問題

直接采用選擇邏輯對時鐘進(jìn)行切換的電路圖如下所示。

圖片

假如時鐘選擇信號 sel_clk1 與兩個時鐘都是異步的,那么時鐘切換時刻就是任意的。假如時鐘由 clk1 切換到 clk2,且切換時刻為 clk1 輸出電平為高的時候,此時立即切換時鐘就會導(dǎo)致輸出時鐘出現(xiàn)毛刺(glitch)。波形示意圖如下:

圖片

時鐘切換方案

在電平相反的時候切換時鐘,肯定有毛刺;電平相同的時候,即使不產(chǎn)生毛刺,時鐘切換后的第一個時鐘的周期或占空比也不是理想的。所以,為避免毛刺的產(chǎn)生,需要在兩個時鐘都為低電平的時候進(jìn)行時鐘切換。

一種典型的時鐘切換電路如下所示。

該電路利用時鐘下降沿對時鐘選擇信號 sel_clk1 進(jìn)行緩存。同時一個時鐘選擇信號對另一個時鐘進(jìn)行反饋控制,保證同一時刻只能有一路時鐘有效。最后采用“或操作”將兩路時鐘合并,完成時鐘切換的過程。

圖片

采用上述電路完成時鐘切換(clk1->clk2)的波形示意圖入戲所示。

由圖可知,clk1 向 clk2 切換時,先回關(guān)閉 clk1, 然后打開 clk2。由于時鐘選擇信號被同步到時鐘下降沿,所以切換過程中不會出現(xiàn)毛刺。

圖片

clk2 向 clk1 切換的波形示意圖如下所示。

圖片

考慮到選擇信號有可能是異步信號,需要在時鐘選擇信號的緩存觸發(fā)器之前加兩級觸發(fā)器進(jìn)行同步處理,來減少亞穩(wěn)態(tài)的傳播,結(jié)構(gòu)圖如下。該時鐘切換電路更具有應(yīng)用場景的普遍性。

圖片

時鐘切換設(shè)計

普遍且安全的時鐘切換邏輯描述如下。

module clk_switch(
    input               rstn ,
    input               clk1,
    input               clk2,
    input               sel_clk1 , // 1 clk1, 0 clk2
    output              clk_out
    );


   reg [2:0]            sel_clk1_r ;
   reg [1:0]            sel_clk1_neg_r ;
   reg [2:0]            sel_clk2_r ;
   reg [1:0]            sel_clk2_neg_r ;


   //使用3拍緩存,同步另一個時鐘控制信號與本時鐘控制信號的"與"邏輯操作
   always @(posedge clk1 or negedge rstn) begin
      if (!rstn) begin
         sel_clk1_r     <= 3'b111 ; //注意默認(rèn)值
      end
      else begin
         //sel clk1, and not sel clk2
         sel_clk1_r     <= {sel_clk1_r[1:0], sel_clk1 & (!sel_clk2_neg_r[1])} ;
      end
   end


   //在下降沿,使用2拍緩存時鐘選擇信號
   always @(negedge clk1 or negedge rstn) begin
      if (!rstn) begin
         sel_clk1_neg_r <= 2'b11 ; //注意默認(rèn)值
      end
      else begin
         sel_clk1_neg_r <= {sel_clk1_neg_r[0], sel_clk1_r[2]} ;
      end
   end


   //使用3拍緩存,同步另一個時鐘控制信號與本時鐘控制信號的"與"邏輯操作
   always @(posedge clk2 or negedge rstn) begin
      if (!rstn) begin
         sel_clk2_r     <= 3'b0 ; //注意默認(rèn)值
      end
      else begin
         //sel clk2, and not sel clk1
         sel_clk2_r     <= {sel_clk2_r[1:0], !sel_clk1 & (!sel_clk1_neg_r[1])} ;
      end
   end


   //在下降沿,使用2拍緩存時鐘選擇信號
   always @(negedge clk2 or negedge rstn) begin
      if (!rstn) begin
         sel_clk2_neg_r <= 2'b0 ; //注意默認(rèn)值
      end
      else begin
         sel_clk2_neg_r <= {sel_clk2_neg_r[0], sel_clk2_r[2]} ;
      end
   end


   //時鐘邏輯運(yùn)算時,一般使用特定的工藝單元庫。
   //這里用 Verilog 自帶的邏輯門單元代替
   wire clk1_gate, clk2_gate ;
   and (clk1_gate, clk1, sel_clk1_neg_r[1]) ;
   and (clk2_gate, clk2, sel_clk2_neg_r[1]) ;
   or  (clk_out, clk1_gate, clk2_gate) ;


endmodule

testbench 描述如下,主要產(chǎn)生異步的時鐘選擇信號。

`timescale 1ns/1ps
module test ;
   reg          clk_100mhz, clk_200mhz ;
   reg          rstn ;
   reg          sel ;
   wire         clk_out ;


   always #(2.5)    clk_200mhz  = ~clk_200mhz ;
   always @(posedge clk_200mhz)
                    clk_100mhz  = #1 ~clk_100mhz ;


   initial begin
      clk_100mhz  = 0 ;
      clk_200mhz  = 0 ;
      rstn        = 0 ;
      sel         = 1 ;
      #11 rstn    = 1 ;
      #36.2 sel   = ~sel ;
      #119.7 sel   = ~sel ;
   end


   clk_switch u_clk_switch(
     .rstn      (rstn),
     .clk1      (clk_100mhz),
     .clk2      (clk_200mhz),
     .sel_clk1  (sel),
     .clk_out   (clk_out));


   initial begin
      forever begin
         #100;
         if ($time >= 10000)  $finish ;
      end
   end


endmodule

仿真結(jié)果如下,可見時鐘相互切換時沒有產(chǎn)生毛刺,但是存在延遲。

圖片

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 電路設(shè)計
    +關(guān)注

    關(guān)注

    6650

    文章

    2412

    瀏覽量

    202201
  • 仿真
    +關(guān)注

    關(guān)注

    50

    文章

    3995

    瀏覽量

    133222
  • 信號
    +關(guān)注

    關(guān)注

    11

    文章

    2767

    瀏覽量

    76452
  • 時鐘
    +關(guān)注

    關(guān)注

    10

    文章

    1701

    瀏覽量

    131184
  • 切換電路
    +關(guān)注

    關(guān)注

    0

    文章

    29

    瀏覽量

    9386
收藏 人收藏

    評論

    相關(guān)推薦

    時鐘設(shè)計中時鐘切換電路設(shè)計案例

    在多時鐘設(shè)計中可能需要進(jìn)行時鐘切換。由于時鐘之間可能存在相位、頻率等差異,直接切換時鐘可能導(dǎo)致
    的頭像 發(fā)表于 09-24 11:20 ?5585次閱讀
    多<b class='flag-5'>時鐘</b>設(shè)計中<b class='flag-5'>時鐘</b><b class='flag-5'>切換</b><b class='flag-5'>電路設(shè)計</b>案例

    精密光電檢測電路設(shè)計方案

    精密光電檢測電路設(shè)計方案
    發(fā)表于 12-15 18:25 ?64次下載

    乘法器電路設(shè)計方案匯總(五款模擬電路設(shè)計原理及仿真程序分享)

    本文為大家介紹五款乘法器電路設(shè)計方案,包括五款模擬電路設(shè)計原理及仿真程序分享,以供參考。
    發(fā)表于 01-17 18:03 ?5.9w次閱讀
    乘法器<b class='flag-5'>電路設(shè)計方案</b>匯總(五款模擬<b class='flag-5'>電路設(shè)計</b>原理及仿真程序分享)

    除法運(yùn)算電路設(shè)計方案匯總(九款模擬電路設(shè)計原理詳解)

    本文為大家?guī)砭趴畈煌某ㄟ\(yùn)算電路設(shè)計方案,包括這九款模擬電路設(shè)計的原理及設(shè)計過程。
    發(fā)表于 01-17 18:24 ?5.6w次閱讀
    除法運(yùn)算<b class='flag-5'>電路設(shè)計方案</b>匯總(九款模擬<b class='flag-5'>電路設(shè)計</b>原理詳解)

    基于FPGA的調(diào)焦電路設(shè)計方案資料下載

    基于FPGA的調(diào)焦電路設(shè)計方案資料下載
    發(fā)表于 05-07 15:53 ?10次下載

    485接口EMC電路設(shè)計方案

    485接口EMC電路設(shè)計方案!
    的頭像 發(fā)表于 02-05 12:53 ?4657次閱讀

    卡拉OK人聲增效電路設(shè)計方案

    卡拉OK人聲增效電路設(shè)計方案
    發(fā)表于 03-25 11:06 ?7次下載
    卡拉OK人聲增效<b class='flag-5'>電路設(shè)計方案</b>

    帶NFC管理的移動通信終端電路設(shè)計方案

    帶NFC管理的移動通信終端電路設(shè)計方案
    發(fā)表于 06-07 10:17 ?30次下載

    SSS1530電路設(shè)計方案

    SSS1530電路設(shè)計方案免費下載。
    發(fā)表于 06-10 16:22 ?32次下載

    基于電流傳輸器的檢測電路設(shè)計方案

    基于電流傳輸器的檢測電路設(shè)計方案
    發(fā)表于 06-15 10:52 ?44次下載

    AC380V EMC標(biāo)準(zhǔn)電路設(shè)計方案

    AC380V EMC標(biāo)準(zhǔn)電路設(shè)計方案
    發(fā)表于 07-12 10:54 ?51次下載

    AV接口EMC標(biāo)準(zhǔn)電路設(shè)計方案

    AV接口EMC標(biāo)準(zhǔn)電路設(shè)計方案
    發(fā)表于 07-12 10:52 ?30次下載

    CAN總線接口EMC標(biāo)準(zhǔn)電路設(shè)計方案

    CAN總線接口EMC標(biāo)準(zhǔn)電路設(shè)計方案
    發(fā)表于 07-12 10:45 ?209次下載

    小信號放大電路設(shè)計方案匯總

    小信號放大電路設(shè)計方案匯總
    發(fā)表于 09-14 15:01 ?139次下載

    LED路燈驅(qū)動電路設(shè)計方案

    電子發(fā)燒友網(wǎng)站提供《LED路燈驅(qū)動電路設(shè)計方案.doc》資料免費下載
    發(fā)表于 11-14 11:31 ?2次下載
    LED路燈驅(qū)動<b class='flag-5'>電路設(shè)計方案</b>