0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FSK調(diào)制解調(diào)方法簡析

杜勇FPGA ? 來源:杜勇FPGA ? 2023-03-31 09:07 ? 次閱讀

1 運(yùn)行平臺

硬件:CRD500數(shù)字信號處理板

系統(tǒng):win7/64;win7/32;win10/64

軟件:Quartus/ModelSimSE/Verilog/Matlab

2 主要功能及性能指標(biāo)

2.2.1主要功能

1)產(chǎn)生基帶原始數(shù)據(jù)

2)完成2FSK調(diào)制

3)完成2FSK解調(diào)

2.2.2主要性能指標(biāo)

1) 調(diào)制端

系統(tǒng)時鐘:50MHz

基帶數(shù)據(jù)碼率:390.625kbps

載波頻率:3MHz

調(diào)制度:3.5

2) 解調(diào)端

系統(tǒng)時鐘:50MHz

采樣頻率:25MHz

解調(diào)方式:非相干

位同步:超前-滯后型數(shù)字鎖相環(huán)位同步

3 程序結(jié)構(gòu)框圖說明

9973ff78-cf57-11ed-bfe3-dac502259ad0.png

FSK通信系統(tǒng)主要由基帶數(shù)據(jù)生成模塊(pcm.v)、FSK調(diào)制模塊(fsk_mod.v) 、FSK解調(diào)模塊(fsk_demod.v)和鎖相環(huán)位同步模塊(BitSync.v)組成。

基帶數(shù)據(jù)生成模塊生成的原始數(shù)據(jù)(390.625kbps)送至FSK調(diào)制模塊完成連續(xù)相位FSK調(diào)制,同時將原始數(shù)據(jù)流送至CRD500開發(fā)板上擴(kuò)展口的第10腳,便于用示波器測試。FSK調(diào)制模塊對接收到的原始數(shù)據(jù)進(jìn)行FSK調(diào)制。調(diào)制度為3.5,載波頻率為3MHz,則碼元0對應(yīng)的頻率為3M-3.5/2*390.625k=2.31640625MHz, 碼元1對應(yīng)的頻率為3M+3.5/2*390.625k=3.68359375MHz。

調(diào)制后的數(shù)據(jù)經(jīng)CRD500的DA/濾波/運(yùn)放電路轉(zhuǎn)換成模似信號經(jīng)跳線送出;采用短接帽將調(diào)制后的模擬信號送至CRD500的AD采樣電路,轉(zhuǎn)換成數(shù)字信號后送至FPGA處理;FSK解調(diào)模塊完成FSK信號解調(diào),并將解調(diào)出的數(shù)據(jù)送至擴(kuò)展口的第11腳。

解調(diào)判決后的數(shù)據(jù)送至位同步模塊提取位同步信號,將提取出的位同步信號送至擴(kuò)展口的第13腳輸出。為真實驗證FSK通信功能,發(fā)送端(pcm.v和fsk_mod.v)的時鐘由CRD500開發(fā)板上的X1晶振驅(qū)動,接收端(fsk_demod.v)的時鐘由X2晶振驅(qū)動。

CRD500板上AD/DA接口有多種設(shè)置方式,如圖2所示。

9989c920-cf57-11ed-bfe3-dac502259ad0.png

本次工程實例采用圖2中的模式1連接方式。如果采用圖2中的第2種模式,則需要采用雙頭BNC線將AD接口與DA接口連接起來。






審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21538

    瀏覽量

    600454
  • 鎖相環(huán)
    +關(guān)注

    關(guān)注

    35

    文章

    577

    瀏覽量

    87626
  • 數(shù)字信號處理
    +關(guān)注

    關(guān)注

    15

    文章

    549

    瀏覽量

    45740
  • FSK
    FSK
    +關(guān)注

    關(guān)注

    14

    文章

    114

    瀏覽量

    58284

原文標(biāo)題:FSK調(diào)制解調(diào)(Quartus/Verilog/CRD500)

文章出處:【微信號:杜勇FPGA,微信公眾號:杜勇FPGA】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    解讀數(shù)字通信原理實驗FSK(ASK)調(diào)制解調(diào)實驗

    解讀數(shù)字通信原理實驗FSK(ASK)調(diào)制解調(diào)實驗 一、實驗?zāi)康?1.掌握FSK(ASK)調(diào)制的工作原理及電路組成。 2.掌握利用鎖相環(huán)
    的頭像 發(fā)表于 09-22 18:25 ?3.6w次閱讀
    解讀數(shù)字通信原理實驗<b class='flag-5'>FSK</b>(ASK)<b class='flag-5'>調(diào)制</b><b class='flag-5'>解調(diào)</b>實驗

    基于MSP430的FSK調(diào)制解調(diào)

    速數(shù)據(jù)傳輸中得到了廣泛的應(yīng)用[1]。FSK信號由頻率調(diào)制器產(chǎn)生不同的頻率,邏輯0用一種特定頻率的波來表示,而邏輯1用另一種不同頻率的波來表示。專用FSK調(diào)制
    發(fā)表于 03-04 17:24

    關(guān)于fsk調(diào)制解調(diào)原理的一些困惑

    想制作一個27MHZ的fsk調(diào)制解調(diào)電路,27MHZ到底指的是哪個頻率????網(wǎng)上大概了解了一下,fsk貌似要用到兩種載波頻率的,所以很是疑惑啊
    發(fā)表于 03-03 16:42

    誰有l(wèi)abview的2fsk調(diào)制解調(diào)系統(tǒng),急用。

    誰有l(wèi)abview的2fsk調(diào)制解調(diào)系統(tǒng),急用。非常感謝。
    發(fā)表于 05-04 22:31

    4FSK調(diào)制解調(diào)

    有沒有4FSK調(diào)制解調(diào)的程序呀,我只做出了4ASK的,4FSK好難
    發(fā)表于 04-17 10:12

    怎樣用FPGA實現(xiàn)FSK調(diào)制解調(diào)呢?

    最近想做這方面的,怎樣用FPGA實現(xiàn)FSK調(diào)制解調(diào)?但是我一點頭緒都沒有,哪位高手幫幫忙,講解一下什么的
    發(fā)表于 05-08 17:34

    基于DSP的2FSK調(diào)制解調(diào)器的設(shè)計

    介紹了簡易V.23二進(jìn)制頻移鍵控(2FSK調(diào)制解調(diào)器的工作原理、算法分析以及基于可編程器件DSP的軟件設(shè)計方法。
    發(fā)表于 07-27 17:50 ?66次下載

    Quartus II平臺下實現(xiàn)全數(shù)字FSK調(diào)制解調(diào)

    根據(jù)數(shù)字信號FSK調(diào)制解調(diào)的工作原理,采用層次化、模塊化方法設(shè)計了一種基于FPGA 芯片的FSK調(diào)制解
    發(fā)表于 08-26 15:25 ?114次下載
    Quartus II平臺下實現(xiàn)全數(shù)字<b class='flag-5'>FSK</b><b class='flag-5'>調(diào)制解調(diào)</b>器

    采用C54 DSP的FSK調(diào)制解調(diào)的實現(xiàn)

    數(shù)據(jù)通信的發(fā)展,對相應(yīng)的傳輸設(shè)備提出了更高要求,尤其是速度方面。DSP的運(yùn)算速度快的優(yōu)點正好能滿足FSK調(diào)制解調(diào)器在速度方面的要求。本文通過對FSK調(diào)制
    發(fā)表于 09-02 15:39 ?71次下載
    采用C54 DSP的<b class='flag-5'>FSK</b><b class='flag-5'>調(diào)制</b><b class='flag-5'>解調(diào)</b>的實現(xiàn)

    FPGA實現(xiàn)2FSK數(shù)字信號調(diào)制解調(diào)

    基于FPGA的調(diào)制解調(diào)的數(shù)字信號有多種,包括2ASK、2FSK、2PSK等,文中介紹了2FSK信號的調(diào)制
    發(fā)表于 03-23 11:17 ?400次下載
    FPGA實現(xiàn)2<b class='flag-5'>FSK</b>數(shù)字信號<b class='flag-5'>調(diào)制</b><b class='flag-5'>解調(diào)</b>

    基于MATLAB的simulink仿真2Fsk調(diào)制解調(diào)

    基于MATLAB的simulink仿真2Fsk調(diào)制解調(diào)
    發(fā)表于 11-12 10:36 ?33次下載

    DSP之 FSK調(diào)制解調(diào)VHDL程序及仿真教程

    DSP之 FSK調(diào)制解調(diào)VHDL程序及仿真教程,很好的DSP自學(xué)資料,快來學(xué)習(xí)吧。
    發(fā)表于 04-15 17:37 ?12次下載

    關(guān)于MSP430的FSK調(diào)制解調(diào)

    提出了一種基于 TIM SP430單片機(jī)的 FSK 調(diào)制解調(diào)器設(shè)計方案。
    發(fā)表于 04-26 14:15 ?21次下載
    關(guān)于MSP430的<b class='flag-5'>FSK</b><b class='flag-5'>調(diào)制解調(diào)</b>器

    FSK調(diào)制解調(diào)的VHDL程序和仿真說明

    本文檔的主要內(nèi)容詳細(xì)介紹的是FSK調(diào)制解調(diào)的VHDL程序和仿真說明。
    發(fā)表于 12-18 16:44 ?23次下載
    <b class='flag-5'>FSK</b>的<b class='flag-5'>調(diào)制</b>與<b class='flag-5'>解調(diào)</b>的VHDL程序和仿真說明

    fsk調(diào)制解調(diào)原理 Fsk調(diào)制體制的主要優(yōu)點

    FSK調(diào)制解調(diào)是一種常用的調(diào)制解調(diào)技術(shù),其中FSK代表頻移鍵控。在
    的頭像 發(fā)表于 02-03 14:28 ?3014次閱讀