0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA零基礎(chǔ)學(xué)習(xí)之Vivado-數(shù)碼管驅(qū)動(dòng)設(shè)計(jì)實(shí)驗(yàn)

FPGA技術(shù)江湖 ? 來源:FPGA技術(shù)江湖 ? 2023-04-09 09:20 ? 次閱讀

大俠好,歡迎來到FPGA技術(shù)江湖,江湖偌大,相見即是緣分。大俠可以關(guān)注FPGA技術(shù)江湖,在“闖蕩江湖”、"行俠仗義"欄里獲取其他感興趣的資源,或者一起煮酒言歡。“煮酒言歡”進(jìn)入IC技術(shù)圈,這里有近100個(gè)IC技術(shù)公眾號(hào)。

大俠好,歡迎來到FPGA技術(shù)江湖。本系列將帶來FPGA的系統(tǒng)性學(xué)習(xí),從最基本的數(shù)字電路基礎(chǔ)開始,最詳細(xì)操作步驟,最直白的言語描述,手把手的“傻瓜式”講解,讓電子信息、通信類專業(yè)學(xué)生、初入職場小白及打算進(jìn)階提升的職業(yè)開發(fā)者都可以有系統(tǒng)性學(xué)習(xí)的機(jī)會(huì)。

系統(tǒng)性的掌握技術(shù)開發(fā)以及相關(guān)要求,對個(gè)人就業(yè)以及職業(yè)發(fā)展都有著潛在的幫助,希望對大家有所幫助。本次帶來Vivado系列,本篇為數(shù)碼管驅(qū)動(dòng)設(shè)計(jì)實(shí)驗(yàn)。話不多說,上貨。

數(shù)碼管驅(qū)動(dòng)設(shè)計(jì)

作者:李西銳校對:陸輝

數(shù)碼管作為SANXIN-B04的顯示裝置,具有易控制,顯示方便的特點(diǎn)。那么接下來我們來學(xué)習(xí)一下數(shù)碼管的驅(qū)動(dòng)原理。

首先,數(shù)碼管根據(jù)驅(qū)動(dòng)電路劃分,分為共陽極數(shù)碼管和共陰極數(shù)碼管。這個(gè)不同直接影響到了我們在驅(qū)動(dòng)數(shù)碼管時(shí)需要給的電平信號(hào)。在SANXIN-B04開發(fā)板上的數(shù)碼管使用的是共陽極數(shù)碼管,那么也就是說我們的數(shù)碼管是低電平有效。

數(shù)碼管硬件部分:

f7106d4e-d66c-11ed-bfe3-dac502259ad0.png

數(shù)碼管總共14個(gè)管腳,分別是8個(gè)段選和6個(gè)位選。6個(gè)數(shù)碼管分別用6根線單獨(dú)控制,一個(gè)數(shù)碼管,數(shù)字部分是7段,加上小數(shù)點(diǎn)總共八段。又因?yàn)?個(gè)數(shù)碼管的段選信號(hào)線是共用的,所以,總共加起來14個(gè)管腳。

我們按照每一段的順序做一個(gè)編號(hào),有利于接下來的講解以及說明,編號(hào)如下:

f72ee74c-d66c-11ed-bfe3-dac502259ad0.png

這8段單獨(dú)控制,我們可以看做是每一段是一個(gè)led燈,那么我們?nèi)绻腼@示特定的數(shù)字,只需要讓特定的某些段亮起來就可以,又因?yàn)槲覀兪枪碴枠O數(shù)碼管,所以每一段在給低電平時(shí)可以被點(diǎn)亮。按照我們手冊的管腳順序,我們給數(shù)據(jù)時(shí),一般按照DP-G-F-E-D-C-B-A的順序給值,小數(shù)點(diǎn)為8bit數(shù)據(jù)的最高位。比如:我們想要顯示數(shù)字1,那么只需要讓B和C兩段點(diǎn)亮即可。那么我們給的數(shù)據(jù)就應(yīng)該是8’b1111_1001。按照這個(gè)規(guī)律,我們可以輕松得到0~9的所有段選數(shù)據(jù)。

f7490a3c-d66c-11ed-bfe3-dac502259ad0.png

此外,數(shù)碼管作為我們的顯示裝置,一般顯示的內(nèi)容為動(dòng)態(tài)的,所以,我們在驅(qū)動(dòng)數(shù)碼管顯示的時(shí)候6個(gè)數(shù)碼管要依次輪流選中,因?yàn)槲覀兊亩芜x信號(hào)是共用的,在同一時(shí)刻不能給不同的段選信號(hào)值。但是這并不意味著我們的數(shù)碼管顯示只能一個(gè)一個(gè)的看到現(xiàn)象,我們只要刷新切換的速度夠快,人眼看起來就是一起亮的,所以我們接下來要做的工作就是:1、位選依次選中。 2、每一位的數(shù)碼管進(jìn)行段選賦值。代碼如下:

f7630342-d66c-11ed-bfe3-dac502259ad0.png

f77bf06e-d66c-11ed-bfe3-dac502259ad0.png

f794e916-d66c-11ed-bfe3-dac502259ad0.png

在此,我們需要聲明一點(diǎn),因?yàn)閿?shù)碼管位選切換過快,會(huì)導(dǎo)致數(shù)碼管顯示異常,所以我們在做位選切換的時(shí)候,我們會(huì)加上一段時(shí)間的延時(shí),以確保顯示正常。

那么接下來,我們做一下仿真測試。

f7a8cb2a-d66c-11ed-bfe3-dac502259ad0.png

按照上圖步驟,添加仿真文件。

f7ca6cd0-d66c-11ed-bfe3-dac502259ad0.png

f7f28472-d66c-11ed-bfe3-dac502259ad0.png

點(diǎn)擊OK新建文件,代碼如下:

f8111f68-d66c-11ed-bfe3-dac502259ad0.png

f828a6a6-d66c-11ed-bfe3-dac502259ad0.png

在仿真時(shí),我們隨機(jī)給了兩個(gè)值,看一下波形。說明:在功能文件中,我們的輸入數(shù)據(jù)為24位的BCD碼,在仿真時(shí),我們直接給了16進(jìn)制數(shù),因?yàn)锽CD碼和16進(jìn)制數(shù)的前十個(gè)數(shù)是一樣的。

點(diǎn)擊Run Simulation,運(yùn)行仿真。

f83ed656-d66c-11ed-bfe3-dac502259ad0.png

在波形當(dāng)中,位選信號(hào)對應(yīng)的段選信號(hào),與我們給的數(shù)值一致,證明數(shù)碼管驅(qū)動(dòng)正確。

在此需要提醒一點(diǎn),如果數(shù)碼管的輸入不是BCD碼,那么我們還需要做一下轉(zhuǎn)碼,最簡單的方法就是做除法,或者用基本運(yùn)算電路來實(shí)現(xiàn)轉(zhuǎn)碼都可。

審核編輯 :李倩

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21568

    瀏覽量

    600580
  • 數(shù)碼管
    +關(guān)注

    關(guān)注

    32

    文章

    1873

    瀏覽量

    90734
  • 數(shù)字電路
    +關(guān)注

    關(guān)注

    193

    文章

    1590

    瀏覽量

    80298

原文標(biāo)題:FPGA零基礎(chǔ)學(xué)習(xí)之Vivado-數(shù)碼管驅(qū)動(dòng)設(shè)計(jì)實(shí)驗(yàn)

文章出處:【微信號(hào):HXSLH1010101010,微信公眾號(hào):FPGA技術(shù)江湖】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    轉(zhuǎn):FPGA學(xué)習(xí)六位數(shù)碼管驅(qū)動(dòng)

    FPGA學(xué)習(xí)六位數(shù)碼管驅(qū)動(dòng)一、實(shí)驗(yàn)目的:實(shí)現(xiàn)六位數(shù)碼管
    發(fā)表于 05-22 18:15

    數(shù)碼管如何定義引腳

    單片機(jī)零基礎(chǔ)入門(8-1)學(xué)會(huì)單片機(jī)數(shù)碼管,這篇文章就夠了,一文吃透數(shù)碼管---數(shù)碼管如何定義引腳?數(shù)碼管如何
    發(fā)表于 12-08 07:06

    FPGA零基礎(chǔ)學(xué)習(xí)數(shù)碼管驅(qū)動(dòng)設(shè)計(jì)

    的。話不多說,上貨。 數(shù)碼管驅(qū)動(dòng)設(shè)計(jì) 開發(fā)板上擁有一個(gè)六位一體的數(shù)碼管,利用數(shù)碼管可以顯示一些數(shù)據(jù)。 · 硬件介紹 數(shù)碼管共有八個(gè)段
    發(fā)表于 03-09 16:25

    FPGA零基礎(chǔ)學(xué)習(xí)Vivado-LED流水燈實(shí)驗(yàn)

    Vivado-LED流水燈實(shí)驗(yàn)。話不多說,上貨。FPGA零基礎(chǔ)學(xué)習(xí)
    發(fā)表于 04-18 21:12

    FPGA零基礎(chǔ)學(xué)習(xí)Vivado-數(shù)碼管驅(qū)動(dòng)設(shè)計(jì)實(shí)驗(yàn)

    不多說,上貨。FPGA零基礎(chǔ)學(xué)習(xí)Vivado-數(shù)碼管驅(qū)動(dòng)
    發(fā)表于 04-19 19:21

    FPGA零基礎(chǔ)學(xué)習(xí)Vivado-按鍵使用教程

    大俠好,歡迎來到FPGA技術(shù)江湖。本系列將帶來FPGA的系統(tǒng)性學(xué)習(xí),從最基本的數(shù)字電路基礎(chǔ)開始,最詳細(xì)操作步驟,最直白的言語描述,手把手的“傻瓜式”講解,讓電子、信息、通信類專業(yè)學(xué)生、初入職場小白
    發(fā)表于 06-13 18:33

    FPGA零基礎(chǔ)學(xué)習(xí)Vivado-超聲波驅(qū)動(dòng)設(shè)計(jì)

    生成一個(gè)周期至少為60ms,且高電平維持時(shí)間至少為10us的一個(gè)觸發(fā)信號(hào)。 六、實(shí)驗(yàn)要求 此次設(shè)計(jì),要求能夠正常驅(qū)動(dòng)模塊,計(jì)算出的距離,計(jì)算其平均值以保證準(zhǔn)確性。數(shù)碼管上顯示出距離,單位為m,精確到
    發(fā)表于 11-01 17:48

    學(xué)51單片機(jī)數(shù)碼管部分

    帶您從學(xué)51單片機(jī)數(shù)碼管部分 目錄1 數(shù)碼管應(yīng)用2 數(shù)碼管內(nèi)部結(jié)構(gòu)及硬件原理圖3 數(shù)碼管
    發(fā)表于 02-10 14:36 ?155次下載

    數(shù)碼管顯示實(shí)驗(yàn)

    數(shù)碼管顯示實(shí)驗(yàn) 一、實(shí)驗(yàn)目的數(shù)碼管是單片機(jī)系統(tǒng)常用的輸出顯示器件,單個(gè)數(shù)碼管可以顯示0~F 和一些簡單符號(hào)。本
    發(fā)表于 09-28 12:28 ?1.5w次閱讀
    <b class='flag-5'>數(shù)碼管</b>顯示<b class='flag-5'>實(shí)驗(yàn)</b>

    數(shù)碼管驅(qū)動(dòng)方式

    數(shù)碼管驅(qū)動(dòng)方式   數(shù)碼管要正常顯示,就要用驅(qū)動(dòng)電路來驅(qū)動(dòng)數(shù)碼管的各個(gè)段碼,從而顯示出我們要
    發(fā)表于 11-06 11:42 ?3917次閱讀

    FPGA視頻教程之使用BJ-EPM240學(xué)習(xí)板進(jìn)行數(shù)碼管顯示實(shí)驗(yàn)的資料說明

    本文檔的主要內(nèi)容詳細(xì)介紹的是FPGA視頻教程之使用BJ-EPM240學(xué)習(xí)板進(jìn)行數(shù)碼管顯示實(shí)驗(yàn)的資料說明
    發(fā)表于 02-28 10:35 ?5次下載
    <b class='flag-5'>FPGA</b>視頻教程之使用BJ-EPM240<b class='flag-5'>學(xué)習(xí)</b>板進(jìn)行<b class='flag-5'>數(shù)碼管</b>顯示<b class='flag-5'>實(shí)驗(yàn)</b>的資料說明

    單片機(jī)零基礎(chǔ)入門(8-1)學(xué)會(huì)單片機(jī)數(shù)碼管,這篇文章就夠了,一文吃透數(shù)碼管---數(shù)碼管如何定義引腳?數(shù)碼

    單片機(jī)零基礎(chǔ)入門(8-1)學(xué)會(huì)單片機(jī)數(shù)碼管,這篇文章就夠了,一文吃透數(shù)碼管---數(shù)碼管如何定義引腳?數(shù)碼管如何
    發(fā)表于 11-25 18:36 ?38次下載
    單片機(jī)<b class='flag-5'>零基</b>礎(chǔ)入門(8-1)學(xué)會(huì)單片機(jī)<b class='flag-5'>數(shù)碼管</b>,這篇文章就夠了,一文吃透<b class='flag-5'>數(shù)碼管</b>---<b class='flag-5'>數(shù)碼管</b>如何定義引腳?<b class='flag-5'>數(shù)碼</b>

    單片機(jī)零基礎(chǔ)入門(8-2)實(shí)戰(zhàn):在數(shù)碼管中實(shí)現(xiàn)顯示一個(gè)數(shù)字--在數(shù)碼管指定位置顯示一個(gè)數(shù)字----單片機(jī)數(shù)碼管實(shí)戰(zhàn)

    單片機(jī)零基礎(chǔ)入門(8-2)實(shí)戰(zhàn):在數(shù)碼管中實(shí)現(xiàn)顯示一個(gè)數(shù)字--數(shù)碼管知識(shí)的應(yīng)用一、上節(jié)回顧:二、本節(jié)需求:三、需求分析:四、源代碼:一、上節(jié)回顧:上篇文章:單片機(jī)零基礎(chǔ)入門(8-1)學(xué)
    發(fā)表于 01-12 18:19 ?13次下載
    單片機(jī)<b class='flag-5'>零基</b>礎(chǔ)入門(8-2)實(shí)戰(zhàn):在<b class='flag-5'>數(shù)碼管</b>中實(shí)現(xiàn)顯示一個(gè)數(shù)字--在<b class='flag-5'>數(shù)碼管</b>指定位置顯示一個(gè)數(shù)字----單片機(jī)<b class='flag-5'>數(shù)碼管</b>實(shí)戰(zhàn)

    FPGA零基礎(chǔ)學(xué)習(xí)Vivado-按鍵使用教程

    系統(tǒng)性的掌握技術(shù)開發(fā)以及相關(guān)要求,對個(gè)人就業(yè)以及職業(yè)發(fā)展都有著潛在的幫助,希望對大家有所幫助。本次帶來Vivado系列,按鍵的使用教程。話不多說,上貨。
    的頭像 發(fā)表于 04-28 14:01 ?1406次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>零基</b>礎(chǔ)<b class='flag-5'>學(xué)習(xí)</b><b class='flag-5'>之</b><b class='flag-5'>Vivado-</b>按鍵使用教程

    數(shù)碼管驅(qū)動(dòng)學(xué)習(xí)筆記

    電子發(fā)燒友網(wǎng)站提供《數(shù)碼管驅(qū)動(dòng)學(xué)習(xí)筆記.pdf》資料免費(fèi)下載
    發(fā)表于 11-05 17:28 ?0次下載